Patrick P. Mercier

Orcid: 0000-0003-1488-5076

According to our database1, Patrick P. Mercier authored at least 151 papers between 2006 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
A Low-Noise Low-Power 0.001Hz-1kHz Neural Recording System-on-Chip With Sample-Level Duty-Cycling.
IEEE Trans. Biomed. Circuits Syst., April, 2024

8.6 An Integrated Dual-side Series/Parallel Piezoelectric Resonator-based 20-to-2.2V DC-DC Converter Achieving a 310% Loss Reduction.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
A Fully-Reflective Wi-Fi-Compatible Backscatter Communication System With Retro-Reflective MIMO Gain for Improved Range.
IEEE J. Solid State Circuits, September, 2023

Protecting Bluetooth User Privacy Through Obfuscation of Carrier Frequency Offset.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2023

A 2.2 nW Analog Electrocardiogram Processor Based on Stochastic Resonance Achieving a 99.94% QRS Complex Detection Sensitivity.
IEEE Trans. Biomed. Circuits Syst., February, 2023

A 36 μW 2.8-3.4 dB Noise Figure Impedance Boosted and Noise Attenuated LNA for NB-IoT.
IEEE Trans. Circuits Syst. I Regul. Pap., January, 2023

An LTE-Harvesting BLE-to-WiFi Backscattering Chip for Single-Device RFID-Like Interrogation.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A Scalable Heterogeneous Integrated Two-Stage Vertical Power-Delivery Architecture for High-Performance Computing.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A Low-Noise 0.001Hz-lkHz Sample-Level Duty-Cycling Neural Recording System-on-Chip.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Vertical Power Delivery and Heterogeneous Integration for High-Performance Computing.
Proceedings of the IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium, 2023

2022
A Stochastic Resonance Electrocardiogram Enhancement Algorithm for Robust QRS Detection.
IEEE J. Biomed. Health Informatics, 2022

A 900MHz GFSK and 16-FSK TX Achieving Up to 63.9% TX Efficiency and 76.2% PA Efficiency via a DC-DC-Powered Class-D VCO and a Class-E PA.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Analysis and Measurement of Noise Suppression in a Nonlinear Regenerative Amplifier.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Channel Characterization of Magnetic Human Body Communication.
IEEE Trans. Biomed. Eng., 2022

A Digitally Assisted Multiplexed Neural Recording System With Dynamic Electrode Offset Cancellation via an LMS Interference-Canceling Filter.
IEEE J. Solid State Circuits, 2022

A WiFi and Bluetooth Backscattering Combo Chip Featuring Beam Steering via a Fully-Reflective Phased-Controlled Multi-Antenna Termination Technique Enabling Operation Over 56 Meters.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

Feedback from a data center for education at CentraleSupélec engineering school.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2022

A Stochastic Resonance P- and T-wave Detection Algorithm.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

2021
A 174.7-dB FoM, 2<sup>nd</sup>-Order VCO-Based ExG-to-Digital Front-End Using a Multi-Phase Gated-Inverted-Ring Oscillator Quantizer.
IEEE Trans. Biomed. Circuits Syst., 2021

A 1.2nW Analog Electrocardiogram Processor Achieving a 99.63% QRS Complex Detection Sensitivity.
IEEE Trans. Biomed. Circuits Syst., 2021

A Dual-Mode Wi-Fi/BLE Wake-Up Receiver.
IEEE J. Solid State Circuits, 2021

An Interference-Resilient BLE-Compatible Wake-Up Receiver Employing Single-Die Multi-Channel FBAR-Based Filtering and a 4-D Wake-Up Signature.
IEEE J. Solid State Circuits, 2021

A 0.6-mW 16-FSK Receiver Achieving a Sensitivity of -103 dBm at 100 kb/s.
IEEE J. Solid State Circuits, 2021

A 178.9-dB FoM 128-dB SFDR VCO-Based AFE for ExG Readouts With a Calibration-Free Differential Pulse Code Modulation Technique.
IEEE J. Solid State Circuits, 2021

A 112-dB SFDR 89-dB SNDR VCO-Based Sensor Front-End Enabled by Background-Calibrated Differential Pulse Code Modulation.
IEEE J. Solid State Circuits, 2021

An Optically Addressed Nanowire-Based Retinal Prosthesis With Wireless Stimulation Waveform Control and Charge Telemetering.
IEEE J. Solid State Circuits, 2021

SyncScatter: Enabling WiFi like synchronization and range for WiFi backscatter Communication.
Proceedings of the 18th USENIX Symposium on Networked Systems Design and Implementation, 2021

Maximizing Wireless Power Transfer to Intraocular Implants Under Unconstrained Eye Movements.
Proceedings of the 10th International IEEE/EMBS Conference on Neural Engineering, 2021

Session 18 Overview: Biomedical Devices, Circuits, and Systems Technology Directions Subcommittee.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

28.4 A 400mVpp 92.3 dB-SNDR 1kHz-BW 2<sup>nd</sup>-Order VCO-Based ExG-to-Digital Front-End Using a Multiphase Gated-Inverted Ring-Oscillator Quantizer.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

12.2 Improving the Range of WiFi Backscatter Via a Passive Retro-Reflective Single-Side-Band-Modulating MIMO Array and Non-Absorbing Termination.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A Distortion-Free VCO-Based Sensor-to-Digital Front-End Achieving 178.9dB FoM and 128dB SFDR with a Calibration-Free Differential Pulse-Code Modulation Technique.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

18.1 An Optically-Addressed Nanowire-Based Retinal Prosthesis with 73% RF-to-Stimulation Power Efficiency and 20nC-to-3μ C Wireless Charge Telemetering.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

17.5 A 98.2%-Efficiency Reciprocal Direct Charge Recycling Inductor-First DC-DC Converter.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A Battery-Connected Inductor-First Flying Capacitor Multilevel Converter Achieving 0.77W/mm<sup>2</sup> and 97.1% Peak Efficiency.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

A 3.75 nW Analog Electrocardiogram Processor Facilitating Stochastic Resonance for Real-Time R-wave Detection.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021

2020
Bell-Bloom Magnetometer Linearization by Intensity Modulation Cancellation.
IEEE Trans. Instrum. Meas., 2020

A Low-Power Backscatter Modulation System Communicating Across Tens of Meters With Standards-Compliant Wi-Fi Transceivers.
IEEE J. Solid State Circuits, 2020

A Dynamically High-Impedance Charge-Pump-Based LDO With Digital-LDO-Like Properties Achieving a Sub-4-fs FoM.
IEEE J. Solid State Circuits, 2020

A Sub-mW 2.4-GHz Active-Mixer-Adopted Sub-Sampling PLL Achieving an FoM of -256 dB.
IEEE J. Solid State Circuits, 2020

A 22.3-nW, 4.55 cm<sup>2</sup> Temperature-Robust Wake-Up Receiver Achieving a Sensitivity of -69.5 dBm at 9 GHz.
IEEE J. Solid State Circuits, 2020

A Symmetric Modified Multilevel Ladder PMIC for Battery-Connected Applications.
IEEE J. Solid State Circuits, 2020

A 4.4μW -92/-90.3dBm Sensitivity Dual-Mode BLE/Wi-Fi Wake-up Receiver.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

A 920MHz 16-FSK Receiver Achieving a Sensitivity of -103dBm at 0.6mW Via an Integrated N-Path Filter Bank.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

A -105dB THD 88dB-SNDR VCO-Based Sensor Front-End Enabled by Background-Calibrated Differential Pulse Code Modulation.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

20.1 A 28µW IoT Tag That Can Communicate with Commodity WiFi Transceivers via a Single-Side-Band QPSK Backscatter Communication Technique.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

A 0.55mW Fractional-N PLL with a DC-DC Powered Class-D VCO Achieving Better than -66dBc Fractional and Reference Spurs for NB-IoT.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

H-SIMO: A Hybrid Single-Inductor Multi-Output 5-Level Thin-Oxide Power Management Unit Achieving 91.4% Efficiency from Li-ion Battery Voltages in 28nm FD-SOI.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

An 11.1nJ-Start-up 16/20MHz Crystal Oscillator with Multi-Path Feedforward Negative Resistance Boosting and Optional Dynamic Pulse Width Injection.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

2019
Robust Biopotential Acquisition via a Distributed Multi-Channel FM-ADC.
IEEE Trans. Biomed. Circuits Syst., 2019

A 3 mm × 3 mm Fully Integrated Wireless Power Receiver and Neural Interface System-on-Chip.
IEEE Trans. Biomed. Circuits Syst., 2019

A Fully Integrated RF-Powered Energy-Replenishing Current-Controlled Stimulator.
IEEE Trans. Biomed. Circuits Syst., 2019

A 763 pW 230 pJ/Conversion Fully Integrated CMOS Temperature-to-Digital Converter With +0.81 °C/-0.75 °C Inaccuracy.
IEEE J. Solid State Circuits, 2019

A Sub-10-pJ/bit 5-Mb/s Magnetic Human Body Communication Transceiver.
IEEE J. Solid State Circuits, 2019

A Fully Integrated Li-Ion-Compatible Hybrid Four-Level DC-DC Converter in 28-nm FDSOI.
IEEE J. Solid State Circuits, 2019

A Wearable, Extensible, Open-Source Platform for Hearing Healthcare Research.
IEEE Access, 2019

An Implantable Wireless Network of Distributed Microscale Sensors for Neural Applications.
Proceedings of the 2019 9th International IEEE/EMBS Conference on Neural Engineering (NER), 2019

A Rugged Wearable Modular ExG Platform Employing a Distributed Scalable Multi-Channel FM-ADC Achieving 101dB Input Dynamic Range and Motion-Artifact Resilience.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

A 220μW -85dBm Sensitivity BLE-Compliant Wake-up Receiver Achieving -60dB SIR via Single-Die Multi- Channel FBAR-Based Filtering and a 4-Dimentional Wake-Up Signature.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

A Sub-40μW 5Mb/s Magnetic Human Body Communication Transceiver Demonstrating Trans-Body Delivery of High-Fidelity Audio to a Wearable In-Ear Headphone.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

A Continuous-Input-Current Passive-Stacked Third-Order Buck Converter Achieving 0.7W/mm<sup>2</sup> Power Density and 94% Peak Efficiency.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

Impact of FR1 5G NR Jammers on UWB Indoor Position Location Systems.
Proceedings of the 2019 International Conference on Indoor Positioning and Indoor Navigation, 2019

Power Management for the Internet of Things.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2019

Distributed Microscale Brain Implants with Wireless Power Transfer and Mbps Bi-directional Networked Communications.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2019

A Battery-Connected Symmetric Modified Multilevel Ladder Converter Achieving 0.45W/mm<sup>2</sup> Power Density and 90% Peak Efficiency.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2019

A Charge-Pump-based Digital LDO Employing an AC-Coupled High-Z Feedback Loop Towards a sub-4fs FoM and a 105, 000x Stable Dynamic Current Range.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2019

2018
A Rotation-Resilient Wireless Charging System for Lightweight Autonomous Underwater Vehicles.
IEEE Trans. Veh. Technol., 2018

A 678-µW Frequency-Modulation-Based ADC With 104-dB Dynamic Range in 44-kHz Bandwidth.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

A Current-Mode Capacitively-Coupled Chopper Instrumentation Amplifier for Biopotential Recording With Resistive or Capacitive Electrodes.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

A 93% Peak Efficiency Fully-Integrated Multilevel Multistate Hybrid DC-DC Converter.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A 0.3-V CMOS Biofuel-Cell-Powered Wireless Glucose/Lactate Biosensing System.
IEEE J. Solid State Circuits, 2018

Introduction to the Special Issue on the 2018 IEEE International Solid-State Circuits Conference (ISSCC).
IEEE J. Solid State Circuits, 2018

A Battery-Powered Wireless Ion Sensing System Consuming 5.5 nW of Average Power.
IEEE J. Solid State Circuits, 2018

A Near-Zero-Power Wake-Up Receiver Achieving -69-dBm Sensitivity.
IEEE J. Solid State Circuits, 2018

A Successive Approximation Recursive Digital Low-Dropout Voltage Regulator With PD Compensation and Sub-LSB Duty Control.
IEEE J. Solid State Circuits, 2018

A 0.4-V 0.93-nW/kHz Relaxation Oscillator Exploiting Comparator Temperature-Dependent Delay to Achieve 94-ppm/°C Stability.
IEEE J. Solid State Circuits, 2018

MISIMO: A Multi-Input Single-Inductor Multi-Output Energy Harvesting Platform in 28-nm FDSOI for Powering Net-Zero-Energy Systems.
IEEE J. Solid State Circuits, 2018

AMASS PLL: An Active-Mixer-Adopted Sub-Sampling PLL Achieving an FOM of -255.5DB and a Reference Spur of -66.6DBC.
Proceedings of the 2018 IEEE Symposium on VLSI Circuits, 2018

A 0.3V biofuel-cell-powered glucose/lactate biosensing system employing a 180nW 64dB SNR passive δς ADC and a 920MHz wireless transmitter.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A sub-1.55mV-accuracy 36.9ps-FOM digital-low-dropout regulator employing switched-capacitor resistance.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Session 17 overview: Technologies for health and society: Technology directions subcommittee.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

F4: Circuit and system techniques for mm-wave multi-antenna systems.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

MISIMO: A multi-input single-inductor multi-output energy harvester employing event-driven MPPT control to achieve 89% peak efficiency and a 60, 000x dynamic range in 28nm FDSOI.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Design of low-power wake-up radios for IoT applications.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

A 78%-efficiency li-ion-compatible fully-integrated modified 4-level converter with 0.01-40mW DCM-operation in 28nm FDSOI.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

2017
Editorial.
IEEE Trans. Very Large Scale Integr. Syst., 2017

A 0.6V 75nW All-CMOS Temperature Sensor With 1.67m°C/mV Supply Sensitivity.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Channel Modeling of Miniaturized Battery-Powered Capacitive Human Body Communication Systems.
IEEE Trans. Biomed. Eng., 2017

Silicon-Integrated High-Density Electrocortical Interfaces.
Proc. IEEE, 2017

A Recursive Switched-Capacitor House-of-Cards Power Amplifier.
IEEE J. Solid State Circuits, 2017

A 144-MHz Fully Integrated Resonant Regulating Rectifier With Hybrid Pulse Modulation for mm-Sized Implants.
IEEE J. Solid State Circuits, 2017

20.3 A 100nA-to-2mA successive-approximation digital LDO with PD compensation and sub-LSB duty control achieving a 15.1ns response time at 0.5V.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

26.4 A 0.4-to-1V 1MHz-to-2GHz switched-capacitor adiabatic clock driver achieving 55.6% clock power reduction.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

24.5 A 4.5nW wake-up radio with -69dBm sensitivity.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

A 113 pW fully integrated CMOS temperature sensor operating at 0.5 V.
Proceedings of the 2017 IEEE SENSORS, Glasgow, United Kingdom, October 29, 2017

A 5.5 nW battery-powered wireless ion sensing system.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

A 1.6%/V 124.2 pW 9.3 Hz relaxation oscillator featuring a 49.7 pW voltage and current reference generator.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

A 420 fW self-regulated 3T voltage reference generator achieving 0.47%/V line regulation from 0.4-to-1.2 V.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

A 400 MHz 4.5 nW -63.8 dBm sensitivity wake-up receiver employing an active pseudo-balun envelope detector.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

Design of miniaturized wireless power receivers for mm-sized implants.
Proceedings of the 2017 IEEE Custom Integrated Circuits Conference, 2017

Wireless powering of mm-scale fully-on-chip neural interfaces.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

2016
A Reference-Free Capacitive-Discharging Oscillator Architecture Consuming 44.4 pW/75.6 nW at 2.8 Hz/6.4 kHz.
IEEE J. Solid State Circuits, 2016

Flying-Domain DC-DC Power Conversion.
IEEE J. Solid State Circuits, 2016

A fully integrated 144 MHz wireless-power-receiver-on-chip with an adaptive buck-boost regulating rectifier and low-loss H-Tree signal distribution.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

12.9 A flying-domain DC-DC converter powering a Cortex-M0 processor with 90.8% efficiency.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

A 200-kHz/6.78-MHz wireless power transmitter featuring concurrent dual-band operation.
Proceedings of the International SoC Design Conference, 2016

A multi-channel EEG system featuring single-wire data aggregation via FM-FDM techniques.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

A 14.5 pW, 31 ppm/°C resistor-less 5 pA current reference employing a self-regulated push-pull voltage reference generator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Wearable chemical sensors: Opportunities and challenges.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

A recursive house-of-cards digital power amplifier employing a λ/4-less Doherty power combiner in 65nm CMOS.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

Generic Algorithmic Scheme for 2D Stencil Applications on Hybrid Machines.
Proceedings of the Architecture of Computing Systems - ARCS 2016, 2016

2015
A battery-connected 24-ratio switched capacitor PMIC achieving 95.5%-efficiency.
Proceedings of the Symposium on VLSI Circuits, 2015

A 144MHz integrated resonant regulating rectifier with hybrid pulse modulation.
Proceedings of the Symposium on VLSI Circuits, 2015

A 16-channel wireless neural interfacing SoC with RF-powered energy-replenishing adiabatic stimulation.
Proceedings of the Symposium on VLSI Circuits, 2015

A footprint-constrained efficiency roadmap for on-chip switched-capacitor DC-DC converters.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Comparison of foam-based and spring-loaded dry EEG electrodes with wet electrodes in resting and moving conditions.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Magnetic human body communication.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

A 51 pW reference-free capacitive-discharging oscillator architecture operating at 2.8 Hz.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

A single-inductor 7+7 ratio reconfigurable resonant switched-capacitor DC-DC converter with 0.1-to-1.5V output voltage range.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

An interdigitated non-contact ECG electrode for impedance compensation and signal restoration.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

A 1.65 mW PLL-free PSK receiver employing super-regenerative phase sampling.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

2014
Guest Editorial - Selected Papers from the 2014 IEEE International Solid-State Circuits Conference.
IEEE Trans. Biomed. Circuits Syst., 2014

A Recursive Switched-Capacitor DC-DC Converter Achieving 2<sup>N</sup>-1 Ratios With High Efficiency Over a Wide Output Voltage Range.
IEEE J. Solid State Circuits, 2014

A Sub-nW 2.4 GHz Transmitter for Low Data-Rate Sensing Applications.
IEEE J. Solid State Circuits, 2014

A 1.1 nW Energy-Harvesting System with 544 pW Quiescent Power for Next-Generation Implants.
IEEE J. Solid State Circuits, 2014

Pricing derivatives on graphics processing units using Monte Carlo simulation.
Concurr. Comput. Pract. Exp., 2014

4.6 An 85%-efficiency fully integrated 15-ratio recursive switched-capacitor DC-DC converter with 0.1-to-2.2V output voltage range.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

23.2 A 1.1nW energy harvesting system with 544pW quiescent power for next-generation implants.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

Resource Centered Computing Delivering High Parallel Performance.
Proceedings of the 2014 IEEE International Parallel & Distributed Processing Symposium Workshops, 2014

A 45-ratio recursively sliced series-parallel switched-capacitor DC-DC converter achieving 86% efficiency.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

A miniaturized ultrasonic power delivery system.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

2013
Rapid Wireless Capacitor Charging Using a Multi-Tapped Inductively-Coupled Secondary Coil.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

Guest Editorial - Selected Papers from the 2013 IEEE International Solid-State Circuits Conference (ISSCC).
IEEE Trans. Biomed. Circuits Syst., 2013

A 2.4 GHz Multi-Channel FBAR-based Transmitter With an Integrated Pulse-Shaping Power Amplifier.
IEEE J. Solid State Circuits, 2013

A 78 pW 1 b/s 2.4 GHz radio transmitter for near-zero-power sensing applications.
Proceedings of the ESSCIRC 2013, 2013

2012
Communication and energy delivery architectures for personal medical devices.
PhD thesis, 2012

A 440pJ/bit 1Mb/s 2.4GHz multi-channel FBAR-based TX and an integrated pulse-shaping PA.
Proceedings of the Symposium on VLSI Circuits, 2012

2011
A Supply-Rail-Coupled eTextiles Transceiver for Body-Area Networks.
IEEE J. Solid State Circuits, 2011

2010
A Low-Voltage Energy-Sampling IR-UWB Digital Baseband Employing Quadratic Correlation.
IEEE J. Solid State Circuits, 2010

A Pulsed UWB Receiver SoC for Insect Motion Control.
IEEE J. Solid State Circuits, 2010

A 110µW 10Mb/s etextiles transceiver for body area networks with remote battery power.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

2009
Low-Power Impulse UWB Architectures and Circuits.
Proc. IEEE, 2009

An Energy-Efficient All-Digital UWB Transmitter Employing Dual Capacitively-Coupled Pulse-Shaping Drivers.
IEEE J. Solid State Circuits, 2009

A 0.55V 16Mb/s 1.6mW non-coherent IR-UWB digital baseband with ±1ns synchronization accuracy.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

A pulsed UWB receiver SoC for insect motion control.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

High dimensional pricing of exotic European contracts on a GPU Cluster, and comparison to a CPU cluster.
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009

2008
Ultra-low-power UWB for sensor network applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

2007
Optimization of FRM FIR Digital Filters Over CSD and CDBNS Multiplier Coefficient Spaces Employing a Novel Genetic Algorithm.
J. Comput., 2007

2006
A Genetic Algorithm for the Design and Optimization of FRM Digital Filters Over a Canonical Double-Base Multiplier Coefficient Space.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Design of FRM digital filters over the CSD multiplier coefficient space employing genetic algorithms.
Proceedings of the 2006 IEEE International Conference on Acoustics Speech and Signal Processing, 2006

Yield and Cost Modeling for 3D Chip Stack Technologies.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006


  Loading...