Minkyu Je

Orcid: 0000-0003-4580-2771

Affiliations:
  • Korea Advanced Institute of Science and Technology, Daejeon, Korea
  • Agency for Science, Technology and Research (A*STAR), Institute of Microelectronics, Singapore


According to our database1, Minkyu Je authored at least 165 papers between 1998 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
A 187-dB FoM<sub>S</sub> Power-Efficient Second-Order Highpass ΔΣ Capacitance-to-Digital Converter.
IEEE J. Solid State Circuits, April, 2024

Impedance-Readout Integrated Circuits for Electrical Impedance Spectroscopy: Methodological Review.
IEEE Trans. Biomed. Circuits Syst., February, 2024

A 15.4-ENOB, Fourth-Order Truncation-Error-Shaping NS-SAR-Nested ΔΣ Modulator With Boosted Input Impedance and Range for Biosignal Acquisition.
IEEE J. Solid State Circuits, February, 2024

33.8 A Two-Electrode Bio-Impedance Readout IC with Complex-Domain Noise-Correlated Baseline Cancellation Supporting Sinusoidal Excitation.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
A Wireless and Wearable Body-Pressure-Monitoring System for the Prevention of Pressure-Induced Skin Injuries.
IEEE Trans. Biomed. Circuits Syst., October, 2023

A Process-Scalable Ultra-Low-Voltage Sleep Timer With a Time-Domain Amplifier and a Switch-Less Resistance Multiplier.
IEEE J. Solid State Circuits, October, 2023

A Wide-Bandwidth Ultrasound Receiver and On-Chip Ultrasound Transmitter for Ultrasound Capsule Endoscopy.
IEEE J. Solid State Circuits, October, 2023

A High-Efficiency Single-Mode Dual-Path Buck-Boost Converter With Reduced Inductor Current.
IEEE J. Solid State Circuits, March, 2023

Optimal Parameter Design of DAC-Based Sinusoidal Signal Generators for Electrical Impedance Spectroscopy.
IEEE Trans. Instrum. Meas., 2023

Brief Architectural Survey of Biopotential Recording Front-Ends since the 1970s.
CoRR, 2023

Trend Investigation of Biopotential Recording Front-End Channels for Invasive and Non-Invasive Applications.
CoRR, 2023

A 2.5mW 12MHz-BW 69dB SNDR Passive Bandpass ΔΣ ADC with Highpass Noise-Shaping SAR Quantizers.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

A 187dB FoMS 46fJ/Conv 2<sup>nd</sup>-order Highpass Δ∑ Capacitance-to-Digital Converter.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

A Neural Stimulator IC with Dynamic Voltage Scaling Supply and Energy Recycling for Cochlear Implant in Standard 180nm CMOS Process.
Proceedings of the 20th International SoC Design Conference, 2023

A Batteryless Electrochemical Sensing System IC Based on Intra-Body Power and Data Transfer Towards Miniaturized Wearable Sensor Nodes.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A Sub-aF Super-High-Resolution Capacitance-to-Digital Converter with a Bandpass ΔΣ ADC.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

An Energy-Efficient, Scalable Neural Stimulation IC with Adaptive Dynamic Voltage Switching for Cochlear Implant System.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A 0.9V 2MHz 6.4x-Slope-Boosted Quadrature-Phase Relaxation Oscillator with 164.2dBc/Hz FoM and 62.5ppm Period Jitter in 0.18μm CMOS.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

A 56fJ/Conversion-Step 178dB-FoMS Third-Order Hybrid CT-DT Δ∑ Capacitance-to-Digital Converter.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

A 333TOPS/W Logic-Compatible Multi-Level Embedded Flash Compute-In-Memory Macro with Dual-Slope Computation.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

A 2pA/√Hz Current-Conveyor-Assisted Ultrasound Receiver with 25pF CMUT Parasitic Capacitance.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

2022
Dynamic-Range-Enhancement Techniques for Artifact-Tolerant Biopotential-Acquisition ICs.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Advances in Wearable Brain-Computer Interfaces From an Algorithm-Hardware Co-Design Perspective.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A 46-nF/10-MΩ Range 114-aF/0.37-Ω Resolution Parasitic- and Temperature-Insensitive Reconfigurable RC-to-Digital Converter in 0.18-μm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

An Energy-Efficient Domain-Specific Reconfigurable Array Processor With Heterogeneous PEs for Wearable Brain-Computer Interface SoCs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

A Multimodal Neural-Recording IC With Reconfigurable Analog Front-Ends for Improved Availability and Usability for Recording Channels.
IEEE Trans. Biomed. Circuits Syst., 2022

On-Chip Sinusoidal Signal Generators for Electrical Impedance Spectroscopy: Methodological Review.
IEEE Trans. Biomed. Circuits Syst., 2022

A PVT-Robust AFE-Embedded Error-Feedback Noise-Shaping SAR ADC With Chopper-Based Passive High-Pass IIR Filtering for Direct Neural Recording.
IEEE Trans. Biomed. Circuits Syst., 2022

An Intra-Body Power Transfer System With $>$1-mW Power Delivered to the Load and 3.3-V DC Output at 160-cm of on-Body Distance.
IEEE Trans. Biomed. Circuits Syst., 2022

An Impedance Readout IC with Ratio-Based Measurement Techniques for Electrical Impedance Spectroscopy.
Sensors, 2022

A Wide-Dynamic-Range Neural-Recording IC With Automatic-Gain-Controlled AFE and CT Dynamic-Zoom ΔΣ ADC for Saturation-Free Closed-Loop Neural Interfaces.
IEEE J. Solid State Circuits, 2022

An SRAM-Based Hybrid Computation-in-Memory Macro Using Current-Reused Differential CCO.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022

A 600mVPP-Input-Range 94.5dB-SNDR NS-SAR-Nested DSM with 4<sup>th</sup>-Order Truncation-Error Shaping and Input-Impedance Boosting for Biosignal Acquisition.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

A 96.5%-Power-Efficiency Hybrid Buck-Boost Photovoltaic Energy Harvester Employing Adaptive FOCV MPPT Control for >98% MPPT Efficiency Across a 10, 000× Dynamic Range.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

A 0.7V 17fJ/Step-FOMW 178.1dB-FOMSNDR 10kHz-BW 560mVPP True-ExG Biopotential Acquisition System with Parasitic-Insensitive 421MΩ Input Impedance in 0.18μm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

Inverter-Based Pseudo-Differential Reconfigurable Pixel Circuit Array for Multimodal and High-Density Neural Recordings.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

An 86.7%-Efficient Three-Level Boost Converter with Active Voltage Balancing for Thermoelectric Energy Harvesting.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

A 133.6TOPS/W Compute-In-Memory SRAM Macro with Fully Parallel One-Step Multi-Bit Computation.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

A Single-Mode Dual-Path Buck-Boost Converter with Reduced Inductor Current Across All Duty Cases Achieving 95.58% Efficiency at 1A in Boost Operation.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

Pseudo-Differential Neural-Recording Front-End Design Using High-Pass Cutoff Frequency Programmable Single-Ended Pixel.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

2021
Multimodal Neural Interface Circuits for Diverse Interaction With Neuronal Cell Population in Human Brain.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Energy-Efficient High-Voltage Pulsers for Ultrasound Transducers.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A Wireless Power and Data Transfer IC for Neural Prostheses Using a Single Inductive Link With Frequency-Splitting Characteristic.
IEEE Trans. Biomed. Circuits Syst., 2021

A Polar-Demodulation-Based Impedance-Measurement IC Using Frequency-Shift Technique With Low Power Consumption and Wide Frequency Range.
IEEE Trans. Biomed. Circuits Syst., 2021

A Multimodal Neural Activity Readout Integrated Circuit for Recording Fluorescence and Electrical Signals.
IEEE Access, 2021

A Precise Lesion Localization System Using a Magnetometer With Real-Time Baseline Cancellation for Laparoscopic Surgery.
IEEE Access, 2021

A 96.6%-Efficiency Continuous-Input-Current Hybrid Dual-Path Buck-Boost Converter with Single-Mode Operation and Non-Stopping Output Current Delivery.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

An 8MHz 31.25kS/s Impedance-Monitoring IC Based on IF-Sampling Architecture with a Band-Pass Delta-Sigma ADC.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

A SiPM Readout IC Embedded in a Boost Converter for Mobile Dosimeters.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

A Self-Powered Wireless Gas Sensor Node Based on Photovoltaic Energy Harvesting.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

A 2144.2-bits/min/mW 5-Heterogeneous PE-based Domain-Specific Reconfigurable Array Processor for 8-Ch Wearable Brain-Computer Interface SoC.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

A High-Precision Single-Ended-Current-to-Differential-Voltage Converter for Reconfigurable Neural Recording Front-Ends.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

A Frequency-Splitting-Based Wireless Power and Data Transfer IC for Neural Prostheses with Simultaneous 115mWPower and 2.5Mb/s Forward Data Delivery.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

34.4 An Energy-Replenishing Ultrasound Pulser with 0.25CV<sup>2</sup> f Dynamic Power Consumption.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A 67-pJ/Bit 435-MHz 16-QAM Modulator for Capsule Endoscopy System with 18-ns Start-Up Using Transient DC Error Correction.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A 5.7µW/Channel Folded-Current-Mirror-Based Reconfigurable Multimodal Neural Recording IC with Improved Hardware Availability.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

ML-Based Humidity and Temperature Calibration System for Heterogeneous MOx Sensor Array in ppm-Level BTEX Monitoring.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A Power-Efficient, Wide-Frequency-Range Impedance Measurement IC Using Frequency-Shift Technique.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A Load-Current-Regulating OLED Lamp Driver Using a Hybrid Step-Up Converter with 93.21% Efficiency at a High Conversion Ratio of 4.1.
Proceedings of the 47th ESSCIRC 2021, 2021

A Scalable Readout IC Based on Wideband Noise Cancelling for Full-Rate Scanning of High-Density Microelectrode Arrays.
Proceedings of the 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2021

A 99.5dB-DR 5kHz-BW Closed-Loop Neural-Recording IC based on Continuous-Time Dynamic-Zoom ΔΣ ADC with Automatic AFE-Gain Control.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021

A Neural Stimulation IC Based on a Reconfigurable Current DAC with In-Situ Neural Recording Function for Cochlear Implant Systems.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021

2020
Double-High-Pass-Filter-Based Electrical-Recording Front-Ends and Fluorescence-Recording Front-Ends for Monitoring Multimodal Neural Activity.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

A CMRR Enhancement Circuit Employing Gₘ-Controllable Output Stages for Capacitively Coupled Instrumentation Amplifiers.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Design of Reconfigurable Time-to-Digital Converter Based on Cascaded Time Interpolators for Electrical Impedance Spectroscopy.
Sensors, 2020

A Power-Efficient Radiation Sensor Interface with a Peak-Triggered Sampling Scheme for Mobile Dosimeters.
Sensors, 2020

An Ultra-Low-Noise Swing-Boosted Differential Relaxation Oscillator in 0.18-μm CMOS.
IEEE J. Solid State Circuits, 2020

A Multi-Mode ULP Receiver Based on an Injection-Locked Oscillator for IoT Applications.
IEEE Access, 2020

A 1.0 V, 5.4 pJ/bit GFSK Demodulator Based on an Injection Locked Ring Oscillator for Low-IF Receivers.
IEEE Access, 2020

A 0.0046mm<sup>2</sup> 6.7μW Three-Stage Amplifier Capable of Driving 0.5-to-1.9nF Capacitive Load with >0.68MHz GBW without Compensation Zero.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

Implantable Neural-Recording Modules for Monitoring Electrical Neural Activity in the Central and Peripheral Nervous Systems.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

Miniature Electromagnetic Sensor Nodes for Wireless Surgical Navigation Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Input-Adaptive and Regulated Multi-Output Power Management Unit for Wireless Power Reception and Distribution in Multi-Unit Implantable Devices.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2020

2019
A Sub-µW/Ch Analog Front-End for Δ-Neural Recording With Spike-Driven Data Compression.
IEEE Trans. Biomed. Circuits Syst., 2019

A High DR, DC-Coupled, Time-Based Neural-Recording IC With Degeneration R-DAC for Bidirectional Neural Interface.
IEEE J. Solid State Circuits, 2019

A Multimodal Multichannel Neural Activity Readout IC with 0.7μW/Channel Ca<sup>2+</sup>-Probe-Based Fluorescence Recording and Electrical Recording.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

A 100Mb/s Galvanically-Coupled Body-Channel-Communication Transceiver with 4.75pJ/b TX and 26.8 pJ/b RX for Bionic Arms.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

An Area-Efficient Rectifier with Threshold Voltage Cancellation for Intra-Body Power Transfer.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

A Level Shifter for CMRR-Enhanced Biopotential Acquisition Systems with Human-Body-Coupled Floating Supply Domain.
Proceedings of the 2019 IEEE Asia Pacific Conference on Circuits and Systems, 2019

A Reconfigurable Neural Recording Front-End IC for Multimodal Operation.
Proceedings of the 2019 IEEE Asia Pacific Conference on Circuits and Systems, 2019

2018
Design of an On-Silicon-Interposer Passive Equalizer for Next Generation High Bandwidth Memory With Data Rate Up To 8 Gb/s.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A power-efficient current-mode neural/muscular stimulator design for peripheral nerve prosthesis.
Int. J. Circuit Theory Appl., 2018

A 114-AF RMS- Resolution 46-NF/10-MΩ -Range Digital-Intensive Reconfigurable RC-to-Digital Converter with Parasitic-Insensitive Femto-Farad Baseline Sensing.
Proceedings of the 2018 IEEE Symposium on VLSI Circuits, 2018

6.5µW 92.3DB-DR Biopotential-Recording Front-End with 360MVPP Linear Input Range.
Proceedings of the 2018 IEEE Symposium on VLSI Circuits, 2018

A 110dB-CMRR 100dB-PSRR multi-channel neural-recording amplifier system using differentially regulated rejection ratio enhancement in 0.18μm CMOS.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A 4.86 µW/Channel Fully Differential Multi-Channel Neural Recording System.
Proceedings of the International SoC Design Conference, 2018

A Sinusoidal Signal Generator Using a Constant Gain Finite Impulse Response (FIR) Filter for Electrical Bioimpedance Spectroscopy.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A 650-uW 30-Mbps Galvanic Coupling Communication Receiver for Bionic Arms.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A 3.9μW, 81.3dB SNDR, DC-coupled, Time-based Neural Recording IC with Degeneration R-DAC for Bidirectional Neural Interface in 180nm CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2018

An ultra-low-noise differential relaxation oscillator based on a swing-boosting scheme.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Analysis of acetabular orientation and femoral anteversion using images of three-dimensional reconstructed bone models.
Int. J. Comput. Assist. Radiol. Surg., 2017

A 1 V 2.5 μW fully-differential ASK demodulator with 12.5 pJ/bit FOM for ultra-low power biomedical applications.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

An artifact-suppressed stimulator for simultaneous neural recording and stimulation systems.
Proceedings of the 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), 2017

A neural recording amplifier based on adaptive SNR optimization technique for long-term implantation.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

A simultaneous neural recording and stimulation system using signal folding in recording circuits.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

2016
A 9-bit successive approximation ADC in SOI CMOS operating up to 300 °C.
Int. J. Circuit Theory Appl., 2016

5.10 A 1.4V 10.5MHz swing-boosted differential relaxation oscillator with 162.1dBc/Hz FOM and 9.86psrms period jitter in 0.18µm CMOS.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2015
An Energy Autonomous 400 MHz Active Wireless SAW Temperature Sensor Powered by Vibration Energy Harvesting.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

An Ultra-Low Voltage Level Shifter Using Revised Wilson Current Mirror for Fast and Energy-Efficient Wide-Range Voltage Conversion from Sub-Threshold to I/O Voltage.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

A Fully Integrated Temperature-Independent Reconfigurable Acoustic Transmitter With Digital On-Chip Resistor Temperature Coefficient Calibration for Oil Drilling Application.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

BIST Methodology, Architecture and Circuits for Pre-Bond TSV Testing in 3D Stacking IC Systems.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

Near-Threshold Energy- and Area-Efficient Reconfigurable DWPT/DWT Processor for Healthcare-Monitoring Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

Design of an Ultra-low Voltage 9T SRAM With Equalized Bitline Leakage and CAM-Assisted Energy Efficiency Improvement.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

An Ultralow-Voltage Sensor Node Processor With Diverse Hardware Acceleration and Cognitive Sampling for Intelligent Sensing.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

Fast and energy-efficient low-voltage level shifters.
Microelectron. J., 2015

5.10 A 4.7MHz 53μW fully differential CMOS reference clock oscillator with -22dB worst-case PSNR for miniaturized SoCs.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

A 16-channel 24-V 1.8-mA power efficiency enhanced neural/muscular stimulator with exponentially decaying stimulation current.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A pulse-width-adaptive active charge balancing circuit with pulse-insertion based residual charge compensation and quantization for electrical stimulation applications.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2015

2014
Temperature Sensor Front End in SOI CMOS Operating up to 250°C.
IEEE Trans. Circuits Syst. II Express Briefs, 2014

A Digitally Assisted, Signal Folding Neural Recording Amplifier.
IEEE Trans. Biomed. Circuits Syst., 2014

A single-input dual-output 13.56 MHz CMOS AC-DC converter with comparator-driven rectifiers for implantable devices.
Microelectron. J., 2014

A 457 nW Near-Threshold Cognitive Multi-Functional ECG Processor for Long-Term Cardiac Monitoring.
IEEE J. Solid State Circuits, 2014

A Monolithically Integrated Pressure/Oxygen/Temperature Sensing SoC for Multimodality Intracranial Neuromonitoring.
IEEE J. Solid State Circuits, 2014

An efficient power control scheme for a 2.4GHz class-E PA in 0.13-μm CMOS.
Proceedings of the 2014 IEEE Ninth International Conference on Intelligent Sensors, 2014

30.7 A 60Mb/s wideband BCC transceiver with 150pJ/b RX and 31pJ/b TX for emerging wearable applications.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

A Fixed-frequency hysteretic controlled buck DC-DC converter with improved load regulation.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

A high-sensitivity 135GHz millimeter-wave imager by differential transmission-line loaded split-ring-resonator in 65nm CMOS.
Proceedings of the 44th European Solid State Device Research Conference, 2014

A 127-140GHz injection-locked signal source with 3.5mW peak output power by zero-phase coupled oscillator network in 65nm CMOS.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

A 20V-compliance implantable neural stimulator IC with closed-loop power control, active charge balancing, and electrode impedance check.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

A sub-threshold to super-threshold Level Conversion Flip Flop for sub/near-threshold dual-supply operation.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

A 103 pJ/bit multi-channel reconfigurable GMSK/PSK/16-QAM transmitter with band-shaping.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

A 0.5-V sub-μW/channel neural recording IC with delta-modulation-based spike detection.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

Design of a high-performance Millimeter-wave amplifier using specific modeling.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
A 100-Channel 1-mW Implantable Neural Recording IC.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

A Crystal-Less Temperature-Independent Reconfigurable Transmitter Targeted for High-Temperature Wireless Acoustic Telemetry Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

High-Efficiency Wireless Power Transfer for Biomedical Implants by Optimal Resonant Load Transformation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

A CMOS High-Voltage Transmitter IC for Ultrasound Medical Imaging Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

A 0.45 V 100-Channel Neural-Recording IC With Sub-µW/Channel Consumption in 0.18 µm CMOS.
IEEE Trans. Biomed. Circuits Syst., 2013

An Asymmetrical QPSK/OOK Transceiver SoC and 15: 1 JPEG Encoder IC for Multifunction Wireless Capsule Endoscopy.
IEEE J. Solid State Circuits, 2013

Development of flexible neural probes using SU-8/parylene.
Proceedings of the 8th IEEE International Conference on Nano/Micro Engineered and Molecular Systems, 2013

ES2: "batteries not included." - How little is enough for real energy autonomy?
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A 0.45V 100-channel neural-recording IC with sub-µW/channel consumption in 0.18µm CMOS.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A 110pJ/b multichannel FSK/GMSK/QPSK/p/4-DQPSK transmitter with phase-interpolated dual-injection DLL-based synthesizer employing hybrid FIR.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

Neural recording front-end IC using action potential detection and analog buffer with digital delay for data compression.
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013

A high-frequency transimpedance amplifier for CMOS integrated 2D CMUT array towards 3D ultrasound imaging.
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013

2012
A 60-V, >225°C Half-Bridge Driver for Piezoelectric Acoustic Transducer, on SOI CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

A Self-Powered Power Conditioning IC for Piezoelectric Energy Harvesting From Short-Duration Vibrations.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

A Low-Power Variable-Gain Amplifier With Improved Linearity: Analysis and Design.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

A CMOS Rectifier With a Cross-Coupled Latched Comparator for Wireless Power Transfer in Biomedical Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

Implantable Polyimide Cable for Multichannel High-Data-Rate Neural Recording Microsystems.
IEEE Trans. Biomed. Eng., 2012

An Inductively Powered Implantable Blood Flow Sensor Microsystem for Vascular Grafts.
IEEE Trans. Biomed. Eng., 2012

A SiGe BiCMOS Transmitter/Receiver Chipset With On-Chip SIW Antennas for Terahertz Applications.
IEEE J. Solid State Circuits, 2012

A 160 nW 25 kS/s 9-bit SAR ADC for neural signal recording applications.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

A digitally assisted, pseudo-resistor-less amplifier in 65nm CMOS for neural recording applications.
Proceedings of the 55th IEEE International Midwest Symposium on Circuits and Systems, 2012

Bioelectronics for sustainable healthcare.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

CMOS-MEMS capacitive sensors for intra-cranial pressure monitoring: Sensor fabrication & system design.
Proceedings of the International SoC Design Conference, 2012

Low power implantable neural recording front-end.
Proceedings of the International SoC Design Conference, 2012

High-speed CMOS image sensor for high-throughput lensless microfluidic imaging system.
Proceedings of the Sensors, 2012

A signal folding neural amplifier exploiting neural signal statistics.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012

Integrated circuits design for neural recording sensor interface.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

Design of a 843MHz 35µW SAW oscillator using device and circuit co-design technique.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

A 9.87 nW 1 kS/s 8.7 ENOB SAR ADC for implantable epileptic seizure detection microsystems.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

2011
A 400-nW 19.5-fJ/Conversion-Step 8-ENOB 80-kS/s SAR ADC in 0.18- muhboxm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2011

Multiple Functional ECG Signal is Processing for Wearable Applications of Long-Term Cardiac Monitoring.
IEEE Trans. Biomed. Eng., 2011

Low-Power Ultrawideband Wireless Telemetry Transceiver for Medical Sensor Applications.
IEEE Trans. Biomed. Eng., 2011

Towards personalized medicine and monitoring for healthy living.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 0.06-mm<sup>2</sup> double-sampling single-OTA 2nd-order ΔΣ modulator in 0.18-μm CMOS technology.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

A 3.4-mW 54.24-Mbps burst-mode injection-locked CMOS FSK transmitter.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

A miniaturized heterogeneous wireless sensor node in 3DIC.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

2010
A 700- μ W Wireless Sensor Node SoC for Continuous Real-Time Health Monitoring.
IEEE J. Solid State Circuits, 2010

A 7.2mW 15Mbps ASK CMOS transmitter for ingestible capsule endoscopy.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2009
A precision relaxation oscillator with a self-clocked offset-cancellation scheme for implantable biomedical SoCs.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

2003
A simple four-terminal small-signal model of RF MOSFETs and its parameter extraction.
Microelectron. Reliab., 2003

2000
One chip-low power digital-TCXO with sub-ppm accuracy.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

1998
A high speed and low power SOL inverter using active body-bias.
Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998


  Loading...