Srikanth Krishnan

According to our database1, Srikanth Krishnan authored at least 15 papers between 2005 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
A Critical Examination of the TCAD Modeling of Hot Carrier Degradation for LDMOS Transistors.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

2021
Quantifying Region-Specific Hot Carrier Degradation in LDMOS Transistors Using a Novel Charge Pumping Technique.
Proceedings of the IEEE International Reliability Physics Symposium, 2021

2020
Reliability of Metal-Dielectric Structures Under Intermittent Current Pulsing.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

A Novel 'I-V Spectroscopy' Technique to Deconvolve Threshold Voltage and Mobility Degradation in LDMOS Transistors.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

2019
Current Crowding Impact on Electromigration in Al Interconnects.
Proceedings of the IEEE International Reliability Physics Symposium, 2019

Investigating the Aging Dynamics of Diode-Connected MOS Devices Using an Array-Based Characterization Vehicle in a 65nm Process.
Proceedings of the IEEE International Reliability Physics Symposium, 2019

Time-Dependent Dielectric Breakdown Under AC Stress in GaN MIS-HEMTs.
Proceedings of the IEEE International Reliability Physics Symposium, 2019

2018
Cross-Modal Health State Estimation.
Proceedings of the 2018 ACM Multimedia Conference on Multimedia Conference, 2018

High voltage time-dependent dielectric breakdown in stacked intermetal dielectrics.
Proceedings of the IEEE International Reliability Physics Symposium, 2018

2013
ACE: A robust variability and aging sensor for high-k/metal gate SoC.
Proceedings of the European Solid-State Device Research Conference, 2013

2012
Asymmetric Aging and Workload Sensitive Bias Temperature Instability Sensors.
IEEE Des. Test Comput., 2012

2009
Circuit aging prediction for low-power operation.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2009

2008
Statistical prediction of circuit aging under process variations.
Proceedings of the IEEE 2008 Custom Integrated Circuits Conference, 2008

2007
An Integrated Modeling Paradigm of Circuit Reliability for 65nm CMOS Technology.
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, 2007

2005
Impact of negative bias temperature instability on digital circuit reliability.
Microelectron. Reliab., 2005


  Loading...