Yoshinobu Higami

Orcid: 0000-0002-2909-6777

According to our database1, Yoshinobu Higami authored at least 90 papers between 1994 and 2024.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Testing and Delay-Monitoring for the High Reliability of Memory-Based Programmable Logic Device.
IEICE Trans. Inf. Syst., January, 2024

2023
Test Point Insertion for Multi-Cycle Power-On Self-Test.
ACM Trans. Design Autom. Electr. Syst., 2023

SASL-JTAG: A Light-Weight Dependable JTAG.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

2022
Preliminary Study on Noise-Resilient Artificial Neural Networks for On-Chip Test Generation.
Proceedings of the 11th IEEE Global Conference on Consumer Electronics, 2022

2020
FF-Control Point Insertion (FF-CPI) to Overcome the Degradation of Fault Detection under Multi-Cycle Test for POST.
IEICE Trans. Inf. Syst., 2020

Formulation of a Test Pattern Measure That Counts Distinguished Fault-Pairs for Circuit Fault Diagnosis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2020

2018
Evaluation of educational applications in terms of communication delay between tablets with Bluetooth or Wi-Fi Direct.
Vietnam. J. Comput. Sci., 2018

Automotive Functional Safety Assurance by POST with Sequential Observation.
IEEE Des. Test, 2018

Fault-detection-strengthened method to enable the POST for very-large automotive MCU in compliance with ISO26262.
Proceedings of the 23rd IEEE European Test Symposium, 2018

Capture-Pattern-Control to Address the Fault Detection Degradation Problem of Multi-cycle Test in Logic BIST.
Proceedings of the 27th IEEE Asian Test Symposium, 2018

2017
Discrimination of a Resistive Open Using Anomaly Detection of Delay Variation Induced by Transitions on Adjacent Lines.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

Trip-Based Integer Linear Programming Model for Static Multi-Car Elevator Operation Problems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

A Method for Diagnosing Bridging Fault between a Gate Signal Line and a Clock Line.
IEICE Trans. Inf. Syst., 2017

On selection of adjacent lines in test pattern generation for delay faults considering crosstalk effects.
Proceedings of the 17th International Symposium on Communications and Information Technologies, 2017

Harnessing Fuzziness of the Pragmatic Rule-Design Without IF-THEN Rules.
Proceedings of the Fuzzy Systems and Data Mining III, 2017

Testing of Interconnect Defects in Memory Based Reconfigurable Logic Device (MRLD).
Proceedings of the 26th IEEE Asian Test Symposium, 2017

Comparative Evaluation of Bluetooth and Wi-Fi Direct for Tablet-Oriented Educational Applications.
Proceedings of the Intelligent Information and Database Systems - 9th Asian Conference, 2017

2016
Diagnosis Methods for Gate Delay Faults with Various Amounts of Delays.
IPSJ Trans. Syst. LSI Des. Methodol., 2016

Road-Map to Bridge Theoretical and Practical Approaches for Elevator Operations.
Proceedings of the 5th IIAI International Congress on Advanced Applied Informatics, 2016

Structure-Based Methods for Selecting Fault-Detection-Strengthened FF under Multi-cycle Test with Sequential Observation.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

Evaluation of Influence Exerted by a Malicious Group's Various Aims in the External Grid.
Proceedings of the Hard and Soft Computing for Artificial Intelligence, 2016

Design and Implementation of Data Synchronization and Offline Capabilities in Native Mobile Apps.
Proceedings of the Intelligent Information and Database Systems - 8th Asian Conference, 2016

2015
Diagnosis of Delay Faults Considering Hazards.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Giving formal roles to elevators for breaking symmetry in static elevator operation problems.
Proceedings of the IEEE 4th Global Conference on Consumer Electronics, 2015

2014
Optimal Periods for Probing Convergence of Infinite-stage Dynamic Programmings on GPUs.
Int. J. Netw. Comput., 2014

A call-based integer programming model for static elevator operation problems.
Proceedings of the 2014 Joint 7th International Conference on Soft Computing and Intelligent Systems (SCIS) and 15th International Symposium on Advanced Intelligent Systems (ISIS), 2014

Diagnosis of Gate Delay Faults in the Presence of Clock Delay Faults.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Decreasing computational times for solving static elevator operation problems by assuming maximum waiting times.
Proceedings of the IEEE 3rd Global Conference on Consumer Electronics, 2014

Sushi: A Lightweight Distributed Image Storage System for Mobile and Web Services.
Proceedings of the Soft Computing in Computer and Information Science, 2014

2013
Test Generation for Delay Faults on Clock Lines under Launch-on-Capture Test Environment.
IEICE Trans. Inf. Syst., 2013

Intermittently Proving Dynamic Programming to Solve Infinite MDPs on GPUs.
Proceedings of the First International Symposium on Computing and Networking, 2013

Injecting speculation on ideal trajectories into a trip-based integer programming model for elevator operations.
Proceedings of the IEEE 2nd Global Conference on Consumer Electronics, 2013

Diagnosing Resistive Open Faults Using Small Delay Fault Simulation.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
Dynamic Routing and Wavelength Assignment with Backward Reservation in Wavelength-routed Multifiber WDM Networks.
J. Networks, 2012

Generation of Diagnostic Tests for Transition Faults Using a Stuck-At ATPG Tool.
IEICE Trans. Inf. Syst., 2012

Diagnosis for Bridging Faults on Clock Lines.
Proceedings of the IEEE 18th Pacific Rim International Symposium on Dependable Computing, 2012

Dynamic routing and wavelength assignment in multifiber WDM networks with sparse wavelength conversion.
Proceedings of the International Conference on Information and Communication Technology Convergence, 2012

2011
Enhancement of Clock Delay Faults Testing.
Proceedings of the 16th European Test Symposium, 2011

On Detecting Transition Faults in the Presence of Clock Delay Faults.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

Test Pattern Selection for Defect-Aware Test.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

Fault simulation and test generation for clock delay faults.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Replica Selection and Downloading based on Wavelength Availability in λ-grid Networks.
J. Commun., 2010

Dynamic Parallel Downloading with Network Coding in $\lambda$-Grid Networks.
J. Commun., 2010

2009
An Algorithm for Diagnosing Transistor Shorts Using Gate-level Simulation.
IPSJ Trans. Syst. LSI Des. Methodol., 2009

Addressing Defect Coverage through Generating Test Vectors for Transistor Defects.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Fault Effect of Open Faults Considering Adjacent Signal Lines in a 90 nm IC.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

A Novel Approach for Improving the Quality of Open Fault Diagnosis.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

Diagnostic test generation for transition faults using a stuck-at ATPG tool.
Proceedings of the 2009 IEEE International Test Conference, 2009

New Class of Tests for Open Faults with Considering Adjacent Lines.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
Fault Diagnosis on Multiple Fault Models by Using Pass/Fail Information.
IEICE Trans. Inf. Syst., 2008

Post-BIST Fault Diagnosis for Multiple Faults.
IEICE Trans. Inf. Syst., 2008

Maximizing Stuck-Open Fault Coverage Using Stuck-at Test Vectors.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Fault Simulation and Test Generation for Transistor Shorts Using Stuck-at Test Tools.
IEICE Trans. Inf. Syst., 2008

Increasing Defect Coverage by Generating Test Vectors for Stuck-Open Faults.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
Fault Coverage and Fault Efficiency of Transistor Shorts using Gate-Level Simulation and Test Generation.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Test Generation and Diagnostic Test Generation for Open Faults with Considering Adjacent Lines.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007

Timing-Aware Diagnosis for Small Delay Defects.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007

Clues for Modeling and Diagnosing Open Faults with Considering Adjacent Lines.
Proceedings of the 16th Asian Test Symposium, 2007

Test Generation for Transistor Shorts using Stuck-at Fault Simulator and Test Generator.
Proceedings of the 16th Asian Test Symposium, 2007

Reliability of Node Information on Autonomous Load Distribution Method.
Proceedings of the Advances in Information Processing and Protection., 2007

Development of Concealing the Purpose of Processing for Programs in a Distributed Computing Environment.
Proceedings of the Advances in Information Processing and Protection., 2007

A Consideration of Processor Utilization on Multi-Processor System.
Proceedings of the Advances in Information Processing and Protection., 2007

2006
On Finding Don't Cares in Test Sequences for Sequential Circuits.
IEICE Trans. Inf. Syst., 2006

Effective Post-BIST Fault Diagnosis for Multiple Faults.
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006

Diagnosis of Transistor Shorts in Logic Test Environment.
Proceedings of the 15th Asian Test Symposium, 2006

Compaction of pass/fail-based diagnostic test vectors for combinational and sequential circuits.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Test cost reduction for logic circuits: Reduction of test data volume and test application time.
Syst. Comput. Jpn., 2005

On the fault diagnosis in the presence of unknown fault models using pass/fail information.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Improvement of the processors operating ratio in task scheduling using the deadline method.
Proceedings of the Enhanced Methods in Computer Security, 2005

2004
Generation of Test Sequences with Low Power Dissipation for Sequential Circuits.
IEICE Trans. Inf. Syst., 2004

Enhancing BIST Based Single/Multiple Stuck-at Fault Diagnosis by Ambiguous Test Set.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

Failure Analysis of Open Faults by Using Detecting/Un-detecting Information on Tests.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

Techniques for Finding Xs in Test Sequences for Sequential Circuits and Applications to Test Length/Power Reduction.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

2003
A Method to Find Don't Care Values in Test Sequences for Sequential Circuits.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

2002
Modifying Test Vectors for Reducing Power Dissipation in CMOS Circuits.
Proceedings of the 1st IEEE International Workshop on Electronic Design, 2002

A Method to Reduce Power Dissipation during Test for Sequential Circuits.
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002

2001
Simulation-Based Diagnosis for Crosstalk Faults in Sequential Circuits.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001

Test Generation for Double Stuck-at Faults.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001

2000
Static test compaction for IDDQ testing of bridging faults in sequential circuits.
Syst. Comput. Jpn., 2000

Algorithms to Select IDDQ Measurement Vectors for Bridging Faults in Sequential Circuits.
J. Electron. Test., 2000

Test sequence compaction for sequential circuits with reset states.
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000

Fault models and test generation for IDDQ testing: embedded tutorial.
Proceedings of ASP-DAC 2000, 2000

1999
Efficient Techniques for Reducing IDDQ Observation Time for Sequential Circuits.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

Fault Simulation Techniques to Reduce IDDQ Measurement Vectors for Sequential Circuits.
Proceedings of the 8th Asian Test Symposium (ATS '99), 1999

1998
Observation Time Reduction for IDDQ Testing of Briding Faults in Sequential Circuits.
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998

1997
Design of partially parallel scan chain.
Proceedings of the European Design and Test Conference, 1997

1996
Partially Parallel Scan Chain for Test Length Reduction by Using Retiming Technique.
Proceedings of the 5th Asian Test Symposium (ATS '96), 1996

1995
Partial scan design and test sequence generation based on reduced scan shift method.
J. Electron. Test., 1995

Test sequence compaction by reduced scan shift and retiming.
Proceedings of the 4th Asian Test Symposium (ATS '95), 1995

1994
Reduced Scan Shift: A New Testing Method for Sequential Circuit.
Proceedings of the Proceedings IEEE International Test Conference 1994, 1994


  Loading...