Amit Acharyya

Orcid: 0000-0002-5636-0676

According to our database1, Amit Acharyya authored at least 148 papers between 2008 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Bayesian Inference Accelerator for Spiking Neural Networks.
CoRR, 2024

2023
Graphene-based area efficient power planning architecture design methodology for nanomagnetic logic implementation.
J. Supercomput., December, 2023

Low-Complexity Square-Root Unscented Kalman Filter Design Methodology.
Circuits Syst. Signal Process., November, 2023

Reconfigurable VLSI Design Architecture for Deep Learning Established Forelimb and Hindlimb Gesture Recognition for Rehabilitation Application.
IEEE Access, 2023

GRILAPE: Graph Representation Inductive Learning-based Average Power Estimation for Frontend ASIC RTL Designs.
Proceedings of the 36th International Conference on VLSI Design and 2023 22nd International Conference on Embedded Systems, 2023

Energy-efficient and High Speed Active Cell Balancing Methodology for Lithium-ion Battery Pack.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

SqueezeNetVLAD: High-speed power and memory efficient GPS less accurate network model for visual place recognition on the edge.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Nano-Magnetic Logic based Architecture for Edge Inference using Tsetlin Machine.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Next-Generation Battery Management System Design Methodology.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Phase Space Reconstruction Based Methodology For Real Time Impact Assessment of Corrosion On Structural Health of Ship Material Using In-situ Acoustic Emission Sensors.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Power and Memory Efficient High-Speed RL Based Run time Power Manager for Edge Computation.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

Digital Twin Based Fault-Tolerance Framework for RRAM Based Neural Computing Systems.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

Energy-Efficient High-Speed Architecture for Vehicle Speed Prediction Using Microcontrollers.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

Battery States Co-estimation Methodology Using Dual Square Root Unscented Kalman Filter.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

DeepAttack: A Deep Learning Based Oracle-less Attack on Logic Locking.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Selective Binarization based Architecture Design Methodology for Resource-constrained Computation of Deep Neural Networks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

GRASPE: Accurate Post-Synthesis Power Estimation from RTL using Graph Representation Learning.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Low Complex CORDIC-based Hand Movement Recognition Design Methodology for Rehabilitation and Prosthetic Applications.
Proceedings of the 45th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2023

2022
A Fully Analog Autonomous QRS Complex Detection and Low-Complexity Asystole, Extreme Bradycardia, and Tachycardia Classification System.
IEEE Trans. Instrum. Meas., 2022

An FPGA Based Energy-Efficient Read Mapper With Parallel Filtering and In-Situ Verification.
IEEE ACM Trans. Comput. Biol. Bioinform., 2022

A Hierarchical Fault-Tolerant and Cost Effective Framework for RRAM Based Neural Computing Systems.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Fragmented Huffman-Based Compression Methodology for CNN Targeting Resource-Constrained Edge Devices.
Circuits Syst. Signal Process., 2022

Low-Complex and Low-Power n-dimensional Gram-Schmidt Orthogonalization Architecture Design Methodology.
Circuits Syst. Signal Process., 2022

Low Complexity Reconfigurable-Scalable Architecture Design Methodology for Deep Neural Network Inference Accelerator.
Proceedings of the 35th IEEE International System-on-Chip Conference, 2022

Modeling Attacks Resilient Multiple PUF-CPRNG Architecture Design Methodology.
Proceedings of the 35th IEEE International System-on-Chip Conference, 2022

Clustered Network Adaptation Methodology for the Resource Constrained Platform.
Proceedings of the 20th IEEE Interregional NEWCAS Conference, 2022

FCNet: Deep Learning Architecture for Classification of Fatigue and Corrosion Acoustic Emission Signal.
Proceedings of the 20th IEEE Interregional NEWCAS Conference, 2022

Low complex Hardware Architecture Design Methodology for Cubic Spline Interpolation Technique for Assistive Technologies.
Proceedings of the 20th IEEE Interregional NEWCAS Conference, 2022

SqueezeVGGNet: A Methodology for designing low complexity VGG Architecture for Resource Constraint Edge Applications.
Proceedings of the 20th IEEE Interregional NEWCAS Conference, 2022

VLSI Architecture Design Methodology for Deep learning based Upper Limb and Lower Limb Movement Classification for Rehabilitation Application.
Proceedings of the 13th IEEE Latin America Symposium on Circuits and System, 2022

A Novel Single Lead to 12-Lead ECG Reconstruction Methodology Using Convolutional Neural Networks and LSTM.
Proceedings of the 13th IEEE Latin America Symposium on Circuits and System, 2022

Phase Space Reconstruction Based Real Time Fatigue Crack Growth Estimation for Structural Health Monitoring Ships.
Proceedings of the 13th IEEE Latin America Symposium on Circuits and System, 2022

Artificial Neural Network Based Post-CTS QoR Report Prediction.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Dual Square Root Unscented Kalman Filter based Single Channel Blind Source Separation Methodology.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Light Weight RL Based Run Time Power Management Methodology for Edge Devices.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

GLAAPE: Graph Learning Assisted Average Power Estimation for Gate-level Combinational Designs.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Hardware-Algorithm Codesign for Fast and Energy Efficient Approximate String Matching on FPGA for Computational Biology.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

Operational Age Estimation of ICs using Gaussian Process Regression.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2022

2021
CORAL: Verification-Aware OpenCL Based Read Mapper for Heterogeneous Systems.
IEEE ACM Trans. Comput. Biol. Bioinform., 2021

M2DA: A Low-Complex Design Methodology for Convolutional Neural Network Exploiting Data Symmetry and Redundancy.
Circuits Syst. Signal Process., 2021

Low-Complexity and High-Speed Architecture Design Methodology for Complex Square Root.
Circuits Syst. Signal Process., 2021

Deep neural network for automated simultaneous intervertebral disc (IVDs) identification and segmentation of multi-modal MR images.
Comput. Methods Programs Biomed., 2021

Control Strategy for Efficient Utilisation of Regenerative Power through Optimal Load Distribution in Hybrid Energy Storage System.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

IC Age Estimation Methodology Using IO Pad Protection Diodes for Prevention of Recycled ICs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Single Channel Blind Source Separation Using Dual Extended Kalman Filter.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

PLEDGER: Embedded Whole Genome Read Mapping using Algorithm-HW Co-design and Memory-aware Implementation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
PUF-Based Secure Chaotic Random Number Generator Design Methodology.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Hardware-Software Codesign Based Accelerated and Reconfigurable Methodology for String Matching in Computational Bioinformatics Applications.
IEEE ACM Trans. Comput. Biol. Bioinform., 2020

A Cost-Aware Framework for Lifetime Reliability of TSV-Based 3D-IC Design.
IEEE Trans. Circuits Syst., 2020

Low Complexity VLSI Architecture Design Methodology for Wigner Ville Distribution.
IEEE Trans. Circuits Syst., 2020

Configurable Rotation Matrix of Hyperbolic CORDIC for Any Logarithm and Its Inverse computation.
Circuits Syst. Signal Process., 2020

Adaptive denoising of 3D volumetric MR images using local variance based estimator.
Biomed. Signal Process. Control., 2020

CardioNet: Deep Learning Framework for Prediction of CVD Risk Factors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Accelerated Filtering and in situ Verification for Energy-Optimized Genome Read Mapping.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Real-Time and Accurate State-of-Charge Estimation Methodology using Dual Square Root Unscented Kalman Filter.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Secure Scan Design with a Novel Methodology of Scan Camouflaging.
Proceedings of the European Conference on Circuit Theory and Design, 2020

A High Speed and Low Complexity Architecture Design Methodology for Square Root Unscented Kalman Filter based SLAM.
Proceedings of the European Conference on Circuit Theory and Design, 2020

REPUTE: An OpenCL based Read Mapping Tool for Embedded Genomics.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

An Efficient Pipeline for Distant Person Detection and Identification in 4K Video using GPUs.
Proceedings of the 2020 International Conference on COMmunication Systems & NETworkS, 2020

2019
Simplex FastICA: An Accelerated and Low Complex Architecture Design Methodology for $n$ D FastICA.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Low-Complexity Architecture for Cyber-Physical Systems Model Identification.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Coordinate Rotation-Based Design Methodology for Square Root and Division Computation.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Low Complexity Generic VLSI Architecture Design Methodology for N<sup>th</sup> Root and N<sup>th</sup> Power Computations.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Rehab-Net: Deep Learning Framework for Arm Movement Classification Using Wearable Sensors for Stroke Rehabilitation.
IEEE Trans. Biomed. Eng., 2019

CorNET: Deep Learning Framework for PPG-Based Heart Rate Estimation and Biometric Identification in Ambulant Environment.
IEEE Trans. Biomed. Circuits Syst., 2019

A Framework for TSV Based 3D-IC to Analyze Aging and TSV Thermo-Mechanical Stress on Soft Errors.
Proceedings of the IEEE International Test Conference in Asia, 2019

Enterprise Class Deep Neural Network Architecture for recognizing objects and faces for surveillance systems.
Proceedings of the 11th International Conference on Communication Systems & Networks, 2019

A Novel 2-Lead to 12 Lead ECG Reconstruction Methodology for Remote Health Monitoring Applications.
Proceedings of the 46th Computing in Cardiology, 2019

Fault Tolerance in 3D-ICs.
Proceedings of the Security and Fault Tolerance in Internet of Things, 2019

2018
Runtime Performance and Power Optimization of Parallel Disparity Estimation on Many-Core Platforms.
ACM Trans. Embed. Comput. Syst., 2018

High-Speed Low-Complexity Guided Image Filtering-Based Disparity Estimation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

Novel ASIC Design Flow Using Dynamic Libraries for Reducing Design Time.
J. Low Power Electron., 2018

Cut-less Technology Mapping Using Shannon Factor Graph with on-the-fly Size Reduction.
J. Low Power Electron., 2018

Low-Complexity and Reconfigurable Discrete Hilbert Transform Architecture Design Methodology.
J. Low Power Electron., 2018

Vector Cross Product and Coordinate Rotation Based <i>n</i>D Hybrid FastICA.
J. Low Power Electron., 2018

Low-complexity hardware design methodology for reliable and automated removal of ocular and muscular artifact from EEG.
Comput. Methods Programs Biomed., 2018

Non-invasive Blood Glucose Estimation Methodology Using Predictive Glucose Homeostasis Models.
Proceedings of the 8th International Symposium on Embedded Computing and System Design, 2018

Modified Huffman based compression methodology for Deep Neural Network Implementation on Resource Constrained Mobile Platforms.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

BiometricNet: Deep Learning based Biometric Identification using Wrist-Worn PPG.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Data Driven Empirical Iterative Algorithm for GSR Signal Pre-Processing.
Proceedings of the 26th European Signal Processing Conference, 2018

2017
A Cost-Effective Fault Tolerance Technique for Functional TSV in 3-D ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Low-Complexity Methodology for Complex Square-Root Computation.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Coordinate Rotation-Based Low Complexity K-Means Clustering Architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Improved Wire Length-Driven Placement Technique for Minimizing Wire Length, Area and Timing.
J. Low Power Electron., 2017

Thermo-Magnetic Control System for Nano-Ferromagnetic Particle Doped Shape Memory Alloy for Orthopedic Devices and Rehabilitation Techniques.
J. Low Power Electron., 2017

Low complexity hardware accelerator for nD FastICA based on coordinate rotation.
Proceedings of the 2017 IEEE International Workshop on Signal Processing Systems, 2017

CNN based approach for activity recognition using a wrist-worn accelerometer.
Proceedings of the 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), 2017

Differences in lower limb muscle activation patterns during Sit to Stand Task for different heel heights.
Proceedings of the 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), 2017

Shape memory effect of nano-ferromagnetic particle doped NiTi for orthopedic devices and rehabilitation techniques.
Proceedings of the 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), 2017

Modified distributed arithmetic based low complexity CNN architecture design methodology.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

Accelerated reconfigurable string matching using hardware-software codesign for computational bioinformatics applications.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

Area-elficient interlayer signal propagation in 3D IC by introducing electron spin.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

Coordinate rotation and vector cross product based hardware accelerator for nD FastICA.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

2016
Robust and accurate personalised reconstruction of standard 12-lead system from Frank vectorcardiographic system.
Comput. methods Biomech. Biomed. Eng. Imaging Vis., 2016

Low Power Personalized ECG Based System Design Methodology for Remote Cardiac Health Monitoring.
IEEE Access, 2016

Principal Component Analysis Applied to Surface Electromyography: A Comprehensive Review.
IEEE Access, 2016

A 1.5mA, 2.4GHz ZigBee/BLE QLMVF Receiver Frond End with Split TCAs in 180nm CMOS.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

Low Complexity Single Channel ICA Architecture Design Methodology for Pervasive Healthcare Applications.
Proceedings of the 2016 IEEE International Workshop on Signal Processing Systems, 2016

K-nearest neighbor based methodology for accurate diagnosis of diabetes mellitus.
Proceedings of the Sixth International Symposium on Embedded Computing and System Design, 2016

Thermo-magnetic shape control of nano-ferromagnetic particle doped shape memory alloy for orthopedic devices and rehabilitation techniques.
Proceedings of the Sixth International Symposium on Embedded Computing and System Design, 2016

Design and implementation of an adaptive learning system: An MSc project experience.
Proceedings of the 11th European Workshop on Microelectronics Education, 2016

Reconfigurable hardware-software codesign methodology for protein identification.
Proceedings of the 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2016

Shape memory alloy smart knee spacer to enhance knee functionality: Model design and finite element analysis.
Proceedings of the 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2016

Classification Methodology of CVD with Localized Features Analysis Using Phase Space Reconstruction Targeting Personalized Remote Health Monitoring.
Proceedings of the Computing in Cardiology, CinC 2016, Vancouver, 2016

2015
A Robust Reliable and Low Complexity on Chip f-QRS Detection and Identification Architecture for Remote Personalized Health Care Applications.
J. Low Power Electron., 2015

A 2μW biomedical frontend with ΣΔ ADC for self-powered U-healthcare devices in 0.18μm CMOS technology.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

An accurate clustering algorithm for fast protein-profiling using SCICA on MALDI-TOF.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Affordable low complexity heart/brain monitoring methodology for remote health care.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Multiscale PCA to distinguish regular and irregular surfaces using tri axial head and trunk acceleration signals.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Fast underdetermined BSS architecture design methodology for real time applications.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

A reconfigurable medically cohesive biomedical front-end with ΣΔ ADC in 0.18µm CMOS.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Online and automated reliable system design to remove blink and muscle artefact in EEG.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

2014
Development of an Automated Updated Selvester QRS Scoring System Using SWT-Based QRS Fractionation Detection and Classification.
IEEE J. Biomed. Health Informatics, 2014

A Reconfigurable High Speed Architecture Design for Discrete Hilbert Transform.
IEEE Signal Process. Lett., 2014

A Novel Integrated Circuit Design Methodology Using Dynamic Library Concept with Reduced Non-Recurring Engineering Cost and Time-to-Market.
J. Low Power Electron., 2014

Energy-Efficient and High-Speed Robust System Design for Remote Cardiac Health Monitoring.
J. Low Power Electron., 2014

Reduced lead system selection methodology for reliable standard 12-lead reconstruction targeting personalised remote health monitoring applications.
Comput. methods Biomech. Biomed. Eng. Imaging Vis., 2014

Adaptive rule engine based IoT enabled remote health care data acquisition and smart transmission system.
Proceedings of the IEEE World Forum on Internet of Things, 2014

A Low Complexity Architecture for Online On-chip Detection and Identification of f-QRS Feature for Remote Personalized Health Care Applications.
Proceedings of the 2014 Fifth International Symposium on Electronic System Design, 2014

A New Dynamic Library Based IC Design Automation Methodology Using Functional Symmetry with NPN Class Representation Approach to Reduce NRE Costs and Time-to-Market.
Proceedings of the 2014 Fifth International Symposium on Electronic System Design, 2014

Effect of Constant One and Zero, Shared and Non-decomposed Nodes on Runtime and Graph Size of the Shannon Factor Graph (SFG).
Proceedings of the 2014 Fifth International Symposium on Electronic System Design, 2014

System Architecture for Smart Ubiquitous Health Monitoring System with Area Optimization in Multiple On-chip Radios Scenario.
Proceedings of the 2014 Fifth International Symposium on Electronic System Design, 2014

A Low-Complexity Onchip Real-Time Automated ECG Frame Identification Methodology Targeting Remote Health Care.
Proceedings of the 2014 Fifth International Symposium on Electronic System Design, 2014

A new VLSI IC design automation methodology with reduced NRE costs and time-to-market using the NPN class Representation and functional symmetry.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Classification of finger extension and flexion of EMG and Cyberglove data with modified ICA weight matrix.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

Low complexity underdetermined blind source separation system architecture for emerging remote healthcare applications.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

Context predictor based sparse sensing technique and smart transmission architecture for IoT enabled remote health monitoring applications.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

A low complexity on-chip ECG data compression methodology targeting remote health-care applications.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

Automated detection and correction of eye blink and muscular artefacts in EEG signal for analysis of Autism Spectrum Disorder.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

An On-chip Robust Real-Time Automated Non-Invasive Cardiac Remote Health Monitoring Methodology.
Proceedings of the Computing in Cardiology, CinC 2014, 2014

Personalised System-on-chip and Mobile-App for Standard 12-lead Reconstruction from the Reduced 3-lead System Targeting Remote Health Care.
Proceedings of the Computing in Cardiology, CinC 2014, 2014

2013
A Low-Complexity ECG Feature Extraction Algorithm for Mobile Healthcare Applications.
IEEE J. Biomed. Health Informatics, 2013

A Novel Physical Synthesis Methodology in the VLSI Design Automation by Introducing Dynamic Library Concept.
Proceedings of the 2013 International Symposium on Electronic System Design, 2013

A Novel and Unified Digital IC Design and Automation Methodology with Reduced NRE Cost and Time-to-Market.
Proceedings of the 2013 International Symposium on Electronic System Design, 2013

Energy-Efficient and High-Speed Robust Channel Identification Methodology to Solve Permutation Indeterminacy in ICA for Artifacts Removal from ECG in Remote Healthcare.
Proceedings of the 2013 International Symposium on Electronic System Design, 2013

Accurate and reliable 3-lead to 12-lead ECG reconstruction methodology for remote health monitoring applications.
Proceedings of the IEEE 15th International Conference on e-Health Networking, 2013

Coordinate rotation based low complexity architecture for 3D Single Channel Independent Component Analysis.
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013

Methodology for automated detection of fragmentation in QRS complex of Standard 12-lead ECG.
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013

A low-cost scalable solution for digitizing analog X-rays with applications to rural healthcare.
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013

2012
A Closed-Loop Control Strategy for Glucose Control in Artificial Pancreas Systems.
Proceedings of the International Symposium on Electronic System Design, 2012

2011
Resource constrained signal processing algorithms and architectures.
PhD thesis, 2011

Coordinate Rotation Based Low Complexity N-D FastICA Algorithm and Architecture.
IEEE Trans. Signal Process., 2011

Algorithm and Architecture for N-D Vector Cross-Product Computation.
IEEE Trans. Signal Process., 2011

Simplified logic design methodology for fuzzy membership function based robust detection of maternal modulus maxima location: A low complexity Fetal ECG extraction architecture for mobile health monitoring systems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

2009
Memory Reduction Methodology for Distributed-Arithmetic-Based DWT/IDWT Exploiting Data Symmetry.
IEEE Trans. Circuits Syst. II Express Briefs, 2009

Hardware reduction methodology for 2-dimensional kurtotic fastica based on algorithmic analysis and architectural symmetry.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2009

Hardware efficient fixed-point VLSI architecture for 2D Kurtotic FastICA.
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009

2008
Hardware development for pervasive healthcare systems: Current status and future directions.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008


  Loading...