Bruce L. Jacob

Affiliations:
  • University of Maryland, College Park, USA


According to our database1, Bruce L. Jacob authored at least 75 papers between 1995 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2022
Building A Trusted Execution Environment for In-Storage Computing.
CoRR, 2022

2021
Monolithically Integrating Non-Volatile Main Memory over the Last-Level Cache.
ACM Trans. Archit. Code Optim., 2021

IceClave: A Trusted Execution Environment for In-Storage Computing.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

Zoned FTL: Achieve Resource Isolation via Hardware Virtualization.
Proceedings of the MEMSYS 2021: The International Symposium on Memory Systems, Washington, USA, September 27, 2021

2020
DRAMsim3: A Cycle-Accurate, Thermal-Capable DRAM Simulator.
IEEE Comput. Archit. Lett., 2020

Tileable Monolithic ReRAM Memory Design.
Proceedings of the 2020 IEEE Symposium in Low-Power and High-Speed Chips, 2020

2019
PROFET: Modeling System Performance and Energy Without Simulating the CPU.
Proc. ACM Meas. Anal. Comput. Syst., 2019

Analyzing the Monolithic Integration of a ReRAM-Based Main Memory Into a CPU's Die.
IEEE Micro, 2019

Rethinking cycle accurate DRAM simulation.
Proceedings of the International Symposium on Memory Systems, 2019

Statistical DRAM modeling.
Proceedings of the International Symposium on Memory Systems, 2019

Design for ReRAM-based main-memory architectures.
Proceedings of the International Symposium on Memory Systems, 2019

2018
Main memory latency simulation: the missing link.
Proceedings of the International Symposium on Memory Systems, 2018

A performance & power comparison of modern high-speed DRAM architectures.
Proceedings of the International Symposium on Memory Systems, 2018

Memory-systems challenges in realizing monolithic computers.
Proceedings of the International Symposium on Memory Systems, 2018

Exascale Interconnect Topology Characterization and Parameter Exploration.
Proceedings of the 20th IEEE International Conference on High Performance Computing and Communications; 16th IEEE International Conference on Smart City; 4th IEEE International Conference on Data Science and Systems, 2018

2017
Using run-time reverse-engineering to optimize DRAM refresh.
Proceedings of the International Symposium on Memory Systems, 2017

Proceedings of the International Symposium on Memory Systems, MEMSYS 2017, Alexandria, VA, USA, October 02 - 05, 2017
, 2017

2016
DRAM Refresh Mechanisms, Penalties, and Trade-Offs.
IEEE Trans. Computers, 2016

The 2 PetaFLOP, 3 Petabyte, 9 TB/s, 90 kW Cabinet: A System Architecture for Exascale and Big Data.
IEEE Comput. Archit. Lett., 2016

The Case for VLIW-CMP as a Building Block for Exascale.
IEEE Comput. Archit. Lett., 2016

The Case for Associative DRAM Caches.
Proceedings of the Second International Symposium on Memory Systems, 2016

Fast full system memory checkpointing with SSD-aware memory controller.
Proceedings of the Second International Symposium on Memory Systems, 2016

Low Latency, High Bisection-Bandwidth Networks for Exascale Memory Systems.
Proceedings of the Second International Symposium on Memory Systems, 2016

2015
Bringing Modern Hierarchical Memory Systems Into Focus: A study of architecture and workload factors on system performance.
Proceedings of the 2015 International Symposium on Memory Systems, 2015

The Semantic Gap Between Software and the Memory System.
Proceedings of the 2015 International Symposium on Memory Systems, 2015

Flexible auto-refresh: enabling scalable and energy-efficient DRAM refresh reductions.
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015

2014
High-bandwidth, high-capacity, low-power memory systems.
Proceedings of the XIVth International Conference on Embedded Computer Systems: Architectures, 2014

2013
Coordinated refresh: Energy efficient techniques for DRAM refresh scheduling.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

Technology comparison for large last-level caches (L<sup>3</sup>Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized eDRAM.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013

2012
Energy-Efficient Cached DIMM Architecture.
Proceedings of the 20th IEEE International Symposium on Modeling, 2012

Buffer-on-board memory systems.
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012

2011
The structural simulation toolkit.
SIGMETRICS Perform. Evaluation Rev., 2011

DRAMSim2: A Cycle Accurate Memory System Simulator.
IEEE Comput. Archit. Lett., 2011

An analytical model to estimate PCM failure probability due to process variations.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

Let there be light!: the future of memory systems is photonics and 3D stacking.
Proceedings of the 2011 ACM SIGPLAN workshop on Memory Systems Performance and Correctness: held in conjunction with PLDI '11, 2011

2010
Fine-Grained Activation for Power Reduction in DRAM.
IEEE Micro, 2010

2009
The Memory System: You Can't Avoid It, You Can't Ignore It, You Can't Fake It
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01724-7, 2009

The performance of PC solid-state disks (SSDs) as a function of bandwidth, concurrency, device architecture, and system organization.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009

2008
Memory Systems: Cache, DRAM, Disk
Morgan Kaufmann, ISBN: 978-0-12-379751-3, 2008

2007
Accurate and fast system-level power modeling: An XScale-based case study.
ACM Trans. Embed. Comput. Syst., 2007

Fully-Buffered DIMM Memory Architectures: Understanding Mechanisms, Overheads and Scaling.
Proceedings of the 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 2007

2006
In-Line Interrupt Handling and Lock-Up Free Translation Lookaside Buffers (TLBs).
IEEE Trans. Computers, 2006

Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/32nm).
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Last level cache (LLC) performance of data mining workloads on a CMP - a case study of parallel bioinformatics workloads.
Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006

Modeling heterogeneous SoCs with SystemC: a digital/MEMS case study.
Proceedings of the 2006 International Conference on Compilers, 2006

2005
DRAMsim: a memory system simulator.
SIGARCH Comput. Archit. News, 2005

BioBench: A Benchmark Suite of Bioinformatics Applications.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2005

Using Virtual Load/Store Queues (VLSQs) to Reduce the Negative Effects of Reordered Memory Instructions.
Proceedings of the 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 2005

TERPS: the embedded reliable processing system.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Performance characteristics of MAUI: an intelligent memory system architecture.
Proceedings of the 2005 workshop on Memory System Performance, 2005

2004
Extended Split-Issue: Enabling Flexibility in the Hardware Implementation of NUAL VLIW DSPs.
Proceedings of the 31st International Symposium on Computer Architecture (ISCA 2004), 2004

2003
Introduction to the two special issues on memory.
ACM Trans. Embed. Comput. Syst., 2003

The Performance and Energy Consumption of Embedded Real-Time Operating Systems.
IEEE Trans. Computers, 2003

A Case for Studying DRAM Issues at the System Level.
IEEE Micro, 2003

Hardware support for real-time operating systems.
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003

A control-theoretic approach to dynamic voltage scheduling.
Proceedings of the International Conference on Compilers, 2003

2001
Uniprocessor Virtual Memory without TLBs.
IEEE Trans. Computers, 2001

High-Performance DRAMs in Workstation Environments.
IEEE Trans. Computers, 2001

Concurrency, latency, or system overhead: which has the largest impact on uniprocessor DRAM-system performance?.
Proceedings of the 28th Annual International Symposium on Computer Architecture, 2001

In-Line Interrupt Handling for Software-Managed TLBs.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001

Improving the Precise Interrupt Mechanism of Software-Managed TLB Miss Handlers.
Proceedings of the High Performance Computing - HiPC 2001, 8th International Conference, 2001

Transparent data-memory organizations for digital signal processors.
Proceedings of the 2001 International Conference on Compilers, 2001

The performance and energy consumption of three embedded real-time operating systems.
Proceedings of the 2001 International Conference on Compilers, 2001

2000
The New DRAM Interfaces: SDRAM, RDRAM and Variants.
Proceedings of the High Performance Computing, Third International Symposium, 2000

1999
A Performance Comparison of Contemporary DRAM Architectures.
Proceedings of the 26th Annual International Symposium on Computer Architecture, 1999

Fifth Annual Workshop on Computer Education.
Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, 1999

1998
Virtual memory in contemporary microprocessors.
IEEE Micro, 1998

Virtual Memory: Issues of Implementation.
Computer, 1998

A Look at Several Memory Management Units, TLB-Refill Mechanisms, and Page Table Organizations.
Proceedings of the ASPLOS-VIII Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems, 1998

1997
Software-oriented memory-management design.
PhD thesis, 1997

A Comment on "An Analytical Model for Designing Memory Hierarchies".
IEEE Trans. Computers, 1997

Software-Managed Address Translation.
Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), 1997

1996
An Analytical Model for Designing Memory Hierarchies.
IEEE Trans. Computers, 1996

The <i>trading function</i> in action.
Proceedings of the 7th ACM SIGOPS European Workshop: Systems Support for Worldwide Applications, 1996

1995
Composing with Genetic Algorithms.
Proceedings of the 1995 International Computer Music Conference, 1995


  Loading...