Christian Weis

Orcid: 0000-0002-4152-0200

According to our database1, Christian Weis authored at least 82 papers between 2011 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
The NWRD Dataset: An Open-Source Annotated Segmentation Dataset of Diseased Wheat Crop.
Sensors, August, 2023

A Learning-Based Approach for Single Event Transient Analysis in Pass Transistor Logic.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023


2022
A Weighted Current Summation Based Mixed Signal DRAM-PIM Architecture for Deep Neural Network Inference.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022

FeFET versus DRAM based PIM Architectures: A Comparative Study.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

A Critical Assessment of DRAM-PIM Architectures - Trends, Challenges and Solutions.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2022

Optimization of DRAM based PIM Architecture for Energy-Efficient Deep Neural Network Training.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Investigation of Pass Transistor Logic in a 12nm FinFET CMOS Technology.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Machine learning based soft error rate estimation of pass transistor logic in high-speed communication.
Proceedings of the IEEE European Test Symposium, 2022

Revisiting Pass-Transistor Logic Styles in a 12nm FinFET Technology Node.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Correction to: Efficient Hardware Architectures for 1D- and MD-LSTM Networks.
J. Signal Process. Syst., 2021

A Novel DRAM Architecture for Improved Bandwidth Utilization and Latency Reduction Using Dual-Page Operation.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Longevity of Commodity DRAMs in Harsh Environments Through Thermoelectric Cooling.
IEEE Access, 2021

Online Working Set Change Detection with Constant Complexity: The Cornerstone for Memory Management Algorithms in Scalable Systems.
Proceedings of the MEMSYS 2021: The International Symposium on Memory Systems, Washington, USA, September 27, 2021

QuantYOLO: A High-Throughput and Power-Efficient Object Detection Network for Resource and Power Constrained UAVs.
Proceedings of the 2021 Digital Image Computing: Techniques and Applications, 2021

Burnt Forest Estimation from Sentinel-2 Imagery of Australia using Unsupervised Deep Learning.
Proceedings of the 2021 Digital Image Computing: Techniques and Applications, 2021

The Dynamic Random Access Memory Challenge in Embedded Computing Systems.
Proceedings of the A Journey of Embedded and Cyber-Physical Systems, 2021

A Novel DRAM-Based Process-in-Memory Architecture and its Implementation for CNNs.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
eBrainII: a 3 kW Realtime Custom 3D DRAM Integrated ASIC Implementation of a Biologically Plausible Model of a Human Scale Cortex.
J. Signal Process. Syst., 2020

Efficient Hardware Architectures for 1D- and MD-LSTM Networks.
J. Signal Process. Syst., 2020

Real-Time Energy Efficient Hand Pose Estimation: A Case Study.
Sensors, 2020

The gem5 Simulator: Version 20.0+.
CoRR, 2020

System simulation with PULP virtual platform and SystemC.
Proceedings of the RAPIDO 2020 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2020

Efficient Generation of Application Specific Memory Controllers.
Proceedings of the MEMSYS 2020: The International Symposium on Memory Systems, 2020

Multi-Valued Physical Unclonable Functions based on Dynamic Random Access Memory.
Proceedings of the MEMSYS 2020: The International Symposium on Memory Systems, 2020

An Energy Efficient 3D-Heterogeneous Main Memory Architecture for Mobile Devices.
Proceedings of the MEMSYS 2020: The International Symposium on Memory Systems, 2020

A Low Power In-DRAM Architecture for Quantized CNNs using Fast Winograd Convolutions.
Proceedings of the MEMSYS 2020: The International Symposium on Memory Systems, 2020

Access-Aware Per-Bank DRAM Refresh for Reduced DRAM Refresh Overhead.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

2019
eBrainII: A 3 kW Realtime Custom 3D DRAM integrated ASIC implementation of a Biologically Plausible Model of a Human Scale Cortex.
CoRR, 2019

A Lean, Low Power, Low Latency DRAM Memory Controller for Transprecision Computing.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

RRAMSpec: A Design Space Exploration Framework for High Density Resistive RAM.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

Channel Models for Physical Unclonable Functions based on DRAM Retention Measurements.
Proceedings of the XVI International Symposium "Problems of Redundancy in Information and Control Systems", 2019

Fast validation of DRAM protocols with timed petri nets.
Proceedings of the International Symposium on Memory Systems, 2019

An In-DRAM Neural Network Processing Engine.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

2018
A Memory Centric Architecture of the Link Assessment Algorithm in Large Graphs.
IEEE Des. Test, 2018

Efficient coding scheme for DDR4 memory subsystems.
Proceedings of the International Symposium on Memory Systems, 2018

Driving into the memory wall: the role of memory for advanced driver assistance systems and autonomous driving.
Proceedings of the International Symposium on Memory Systems, 2018

The Role of Memories in Transprecision Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Reconfigurable Accelerator for Morphological Operations.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

An analysis on retention error behavior and power consumption of recent DDR4 DRAMs.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Improving the error behavior of DRAM by exploiting its Z-channel property.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
A Customized Many-Core Hardware Acceleration Platform for Short Read Mapping Problems Using Distributed Memory Interface with 3D-Stacked Architecture.
J. Signal Process. Syst., 2017

DRAMSpec: A High-Level DRAM Timing, Power and Area Exploration Tool.
Int. J. Parallel Program., 2017

3D-Stacked Many-Core Architecture for Biological Sequence Analysis Problems.
Int. J. Parallel Program., 2017

A Platform to Analyze DDR3 DRAM's Power and Retention Time.
IEEE Des. Test, 2017

A Bank-Wise DRAM Power Model for System Simulations.
Proceedings of the 9th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2017

Using run-time reverse-engineering to optimize DRAM refresh.
Proceedings of the International Symposium on Memory Systems, 2017

Integrating DRAM power-down modes in gem5 and quantifying their impact.
Proceedings of the International Symposium on Memory Systems, 2017

An advanced embedded architecture for connected component analysis in industrial applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
A cross layer approach for efficient thermal management in 3D stacked SoCs.
Microelectron. Reliab., 2016

A new bank sensitive DRAMPower model for efficient design space exploration.
Proceedings of the 26th International Workshop on Power and Timing Modeling, 2016

Reverse Engineering of DRAMs: Row Hammer with Crosshair.
Proceedings of the Second International Symposium on Memory Systems, 2016

ConGen: An Application Specific DRAM Memory Controller Generator.
Proceedings of the Second International Symposium on Memory Systems, 2016

Error resilience and energy efficiency: An LDPC decoder design study.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Invited - Approximate computing with partially unreliable dynamic random access memory - approximate DRAM.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Efficient reliability management in SoCs - an approximate DRAM perspective.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Application-aware cross-layer reliability analysis and optimization.
it Inf. Technol., 2015

DRAMSys: A Flexible DRAM Subsystem Design Space Exploration Framework.
IPSJ Trans. Syst. LSI Des. Methodol., 2015

A high-level DRAM timing, power and area exploration tool.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

Omitting Refresh: A Case Study for Commodity and Wide I/O DRAMs.
Proceedings of the 2015 International Symposium on Memory Systems, 2015

Thermal Aspects and High-Level Explorations of 3D Stacked DRAMs.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

A Custom Computing System for Finding Similarties in Complex Networks.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Retention time measurements and modelling of bit error rates of WIDE I/O DRAM in MPSoCs.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Resilience Articulation Point (RAP): Cross-layer dependability modeling for nanometer system-on-chip resilience.
Microelectron. Reliab., 2014

Optimized active and power-down mode refresh control in 3D-DRAMs.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

Energy optimization in 3D MPSoCs with Wide-I/O DRAM using temperature variation aware bank-wise refresh.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Hybrid memory architecture for voltage scaling in ultra-low power multi-core biomedical processors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Exploiting expendable process-margins in DRAMs for run-time performance optimization.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Exploration and Optimization of 3-D Integrated DRAM Subsystems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

A Cross-Layer Technology-Based Study of How Memory Errors Impact System Resilience.
IEEE Micro, 2013

TLM modelling of 3D stacked wide I/O DRAM subsystems: a virtual platform for memory controller design space exploration.
Proceedings of the 2013 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2013

Energy and performance exploration of accelerator coherency port using Xilinx ZYNQ.
Proceedings of the 10th FPGAworld Conference, 2013

System and circuit level power modeling of energy-efficient 3D-stacked wide I/O DRAMs.
Proceedings of the Design, Automation and Test in Europe, 2013

Towards variation-aware system-level power estimation of DRAMs: an empirical approach.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Design Space of Flexible Multigigabit LDPC Decoders.
VLSI Design, 2012

A 2.15GBit/s turbo code decoder for LTE advanced base station applications.
Proceedings of the 7th International Symposium on Turbo Codes and Iterative Information Processing, 2012

A High-Performance FPGA-Based Implementation of the LZSS Compression Algorithm.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

ASIC design of a Gbit/s LDPC decoder for iterative MIMO systems.
Proceedings of the International Conference on Computing, Networking and Communications, 2012

An energy efficient DRAM subsystem for 3D integrated SoCs.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

DRAM selection and configuration for real-time mobile systems.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Bringing C++ productivity to VHDL world: From language definition to a case study.
Proceedings of the 2011 Forum on Specification & Design Languages, 2011

Design space exploration for 3D-stacked DRAMs.
Proceedings of the Design, Automation and Test in Europe, 2011


  Loading...