Chauchin Su

Affiliations:
  • National Chiao Tung University, Hsinchu, Taiwan
  • National Central University, Chungli, Taiwan (former)


According to our database1, Chauchin Su authored at least 76 papers between 1990 and 2019.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2019
Package and Chip Accelerated Aging Methods for Power MOSFET Reliability Evaluation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2017
LDMOS Channel Thermometer Based on a Thermal Resistance Sensor for Balancing Temperature in Monolithic Power ICs.
Sensors, 2017

2016
A 0.5V/22 μW low power transceiver IC for use in ESC intra-body communication system.
Proceedings of the International SoC Design Conference, 2016

2014
A 48.6-to-105.2 µW Machine Learning Assisted Cardiac Sensor SoC for Mobile Healthcare Applications.
IEEE J. Solid State Circuits, 2014

A low-power analog-to-digital converter with digitalized amplifier for PAM systems.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

2013
A Near-Threshold 480 MHz 78 µW All-Digital PLL With a Bootstrapped DCO.
IEEE J. Solid State Circuits, 2013

2012
Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

A 0.09 µW Low Power Front-End Biopotential Amplifier for Biosignal Recording.
IEEE Trans. Biomed. Circuits Syst., 2012

A 0.1-0.3 V 40-123 fJ/bit/ch On-Chip Data Link With ISI-Suppressed Bootstrapped Repeaters.
IEEE J. Solid State Circuits, 2012

Cumulative Differential Nonlinearity Testing of ADCs.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

Energy-Effective Sub-Threshold Interconnect Design Using High-Boosting Predrivers.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

A sub-100µW multi-functional cardiac signal processor for mobile healthcare applications.
Proceedings of the Symposium on VLSI Circuits, 2012

2011
A 0.2-0.6 V ring oscillator design using bootstrap technique.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

2010
Timing Jitter and Modulation Profile Extraction for Spread-Spectrum Clocks.
IEEE Trans. Instrum. Meas., 2010

Measurement and Evaluation of the Bioelectrical Impedance of the Human Body by Deconvolution of a Square Wave.
IEICE Trans. Inf. Syst., 2010

Measuring the Transmission Characteristic of the Human Body in an Electrostatic-Coupling Intra Body Communication System Using a Square Test Stimulus.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

A 5Gb/s pulse signaling interface for low power on-chip data communication.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

2009
A Unified Detection Scheme for Crosstalk Effects in Interconnection Bus.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Design of an All-Digital LVDS Driver.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

A Tree-Topology Multiplexer for Multiphase Clock System.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

Analysis and Design of Wide-Band Digital Transmission in an Electrostatic-Coupling Intra-Body Communication System.
IEICE Trans. Commun., 2009

2008
BIST for Measuring Clock Jitter of Charge-Pump Phase-Locked Loops.
IEEE Trans. Instrum. Meas., 2008

Long-Range Prediction for Real-Time MPEG Video Traffic: An H<sub>infty</sub> Filter Approach.
IEEE Trans. Circuits Syst. Video Technol., 2008

A Scalable Digitalized Buffer for Gigabit I/O.
IEEE Trans. Circuits Syst. II Express Briefs, 2008

2007
Multilevel Full-Chip Routing With Testability and Yield Enhancement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

IEEE Standard 1500 Compatible Oscillation Ring Test Methodology for Interconnect Delay and Crosstalk Detection.
J. Electron. Test., 2007

A Test and Diagnosis Methodology for RF Transceivers.
Proceedings of the 16th Asian Test Symposium, 2007

2006
IEEE Standard 1500 Compatible Interconnect Diagnosis for Delay and Crosstalk Faults.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Passive Reduced-Order Macro-Modeling for Linear Time-Delay Interconnect Systems.
IEICE Trans. Electron., 2006

Estimation of Loss Coefficients of Nonlinear Rubber Using Iterative H∞ Filter.
Proceedings of the IEEE International Conference on Systems, 2006

A Digital BIST Methodology for Spread Spectrum Clock Generators.
Proceedings of the 15th Asian Test Symposium, 2006

2005
A spread spectrum clock generator for SATA-II.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Finite State Machine Synthesis for At-Speed Oscillation Testability.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

Oscillation ring based interconnect test scheme for SOC.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
A Unified Approach to Detecting Crosstalk Faults of Interconnects in Deep Sub-Micron VLSI.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

2003
1149.4 Based On-Line Quiescent State Monitoring Technique.
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003

A novel LCD driver testing technique using logic test channels.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

2002
Analysis of Application of the IDDQ Technique to the Deep Sub-Micron VLSI Testing.
J. Electron. Test., 2002

Guest Editorial.
J. Electron. Test., 2002

A Self Calibrated ADC BIST Methodology.
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002

2001
Intrinsic response for analog module testing using an analog testability bus.
ACM Trans. Design Autom. Electr. Syst., 2001

Fault Diagnosis for Linear Analog Circuits.
J. Electron. Test., 2001

Test Waveform Shaping in Mixed Signal Test Bus by Pre-Equalization.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

Configuration free SoC interconnect BIST methodology.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

A computer aided engineering system for memory BIST.
Proceedings of ASP-DAC 2001, 2001

2000
Intrinsic response extraction for the removal of the parasiticeffects in analog test buses.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Impulse Response Fault Model and Fault Extraction for Functional Level Analog Circuit Diagnosis.
J. Inf. Sci. Eng., 2000

A Behavior-Level Fault Model for the Closed-Loop Operational Amplifier.
J. Inf. Sci. Eng., 2000

Crosstalk Effect Removal for Analog Measurement in Analog Test Bus.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000

All Digital Built-in Delay and Crosstalk Measurement for On-Chip Buses.
Proceedings of the 2000 Design, 2000

Is IDDQ testing not applicable for deep submicron VLSI in year 2011?
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000

A methodology for fault model development for hierarchical linear systems.
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000

1999
Decentralized BIST Methodology for System Level Interconnects.
J. Electron. Test., 1999

Analog Metrology and Stimulus Selection in a Noisy Environment.
Proceedings of the 8th Asian Test Symposium (ATS '99), 1999

1998
Boundary scan BIST methodology for reconfigurable systems.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

A linear optimal test generation algorithm for interconnect testing.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

Comprehensive Interconnect BIST Methodology for Virtual Socket Interface.
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998

Analog Module Metrology Using MNABST-1 P1149.4 Test Chip.
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998

1997
A pipelined multiplier-accumulator using a high-speed, low-power static and dynamic full adder design.
IEEE J. Solid State Circuits, 1997

Parasitic Effect Removal for Analog Measurement in P1149.4 Environment.
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997

Analog signal metrology for mixed signal ICs.
Proceedings of the 6th Asian Test Symposium (ATS '97), 17-18 November 1997, 1997

Structural approach for performance driven ECC circuit synthesis.
Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, 1997

1996
Metrology for analog module testing using analog testability bus.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

Decentralized BIST for 1149.1 and 1149.5 Based Interconnects.
Proceedings of the 1996 European Design and Test Conference, 1996

Syndrome Simulation And Syndrome Test For Unscanned Interconnects.
Proceedings of the 5th Asian Test Symposium (ATS '96), 1996

1995
Circuits Design Optimization Using Symbolic Approach.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

A Parallel Event-Driven MOS Timing Simulator on Distributed-Memory Multiprocessors.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

Impulse response fault model and fault extraction for functional level analog circuit diagnosis.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

1994
An I<sub>DDQ</sub> Based Built-in Concurrent Test Technique for Interconnects in a Boundary-Scan Environment.
Proceedings of the Proceedings IEEE International Test Conference 1994, 1994

Hierarchical Techniques for Symbolic Analysis of Large Electronic Circuits.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

Random Testing of Interconnects in A Boundary Scan Environment.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

1993
A Serial-Scan Test-Vector-Compression Methodology.
Proceedings of the Proceedings IEEE International Test Conference 1993, Designing, Testing, and Diagnostics, 1993

ECCSyn: a Synthesis Tool for ECC Circuits.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

A Universal BIST Methodology for Interconnects.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

1990
Computer-aided design of pseudoexhaustive BIST for semiregular circuits.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990

Multiple path sensitization for hierarchical circuit testing.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990


  Loading...