Katherine Shu-Min Li

Orcid: 0000-0002-9942-5185

According to our database1, Katherine Shu-Min Li authored at least 93 papers between 2003 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Design-for-reliability and on-the-fly fault tolerance procedure for paper-based digital microfluidic biochips with multiple faults.
Integr., March, 2023

Machine-Learning Driven Sensor Data Analytics for Yield Enhancement of Wafer Probing.
Proceedings of the IEEE International Test Conference, 2023

2022
Effective Natural Language Processing and Interpretable Machine Learning for Structuring CT Liver-Tumor Reports.
IEEE Access, 2022

Improving IJTAG Test Efficiency and Security.
Proceedings of the 2022 International Symposium on VLSI Design, Automation and Test, 2022

Yield-Enhanced Probe Head Cleaning with AI-Driven Image and Signal Integrity Pattern Recognition for Wafer Test.
Proceedings of the IEEE International Test Conference, 2022

Wafer Defect Pattern Classification with Explainable-Decision Tree Technique.
Proceedings of the IEEE International Test Conference, 2022

Trojan Insertions of Fully Programmable Valve Arrays.
Proceedings of the IEEE European Test Symposium, 2022

Intrusion Detection and Obfuscation Mechanism for PUF-Based Authentication.
Proceedings of the IEEE 31st Asian Test Symposium, 2022

Design-for-Reliability and Probability-Based Fault Tolerance for Paper-Based Digital Microfluidic Biochips with Multiple Faults.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Modeling Attack Resistant PUFs Based on Adversarial Attack Against Machine Learning.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021

Machine Learning Assisted Challenge Selection for Modeling Attack Resistance in Strong PUFs.
Proceedings of the International Symposium on VLSI Design, Automation and Test, 2021

WGrid: Wafermap Grid Pattern Recognition with Machine Learning Techniques.
Proceedings of the IEEE International Test Conference, 2021

Semi-Supervised Framework for Wafer Defect Pattern Recognition with Enhanced Labeling.
Proceedings of the IEEE International Test Conference, 2021

Integrated Scratch Marker for Wafer Defect Diagnosis.
Proceedings of the IEEE International Test Conference in Asia, 2021

Automatic Inspection for Wafer Defect Pattern Recognition with Unsupervised Clustering.
Proceedings of the 26th IEEE European Test Symposium, 2021

Double DQN for Chip-Level Synthesis of Paper-Based Digital Microfluidic Biochips.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Using Tree Structure to Mine High Temporal Fuzzy Utility Itemsets.
IEEE Access, 2020

Innovative Practice on Wafer Test Innovations.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

TestDNA-E: Wafer Defect Signature for Pattern Recognition by Ensemble Learning.
Proceedings of the IEEE International Test Conference, 2020

Watermarking for Paper-Based Digital Microfluidic Biochips.
Proceedings of the IEEE International Test Conference in Asia, 2020

Feature Selection for Malicious Traffic Detection with Machine Learning.
Proceedings of the International Computer Symposium, 2020

PWS: Potential Wafermap Scratch Defect Pattern Recognition with Machine Learning Techniques.
Proceedings of the IEEE European Test Symposium, 2020

Wafer-Level Test Path Pattern Recognition and Test Characteristics for Test-Induced Defect Diagnosis.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Co-placement optimization in sensor-reusable cyber-physical digital microfluidic biochips.
Microelectron. J., 2019

Exploiting distribution of unknown values in test responses to optimize test output compactors.
Integr., 2019

TestDNA: Novel Wafer Defect Signature for Diagnosis and Yield Learning.
Proceedings of the IEEE International Test Conference, 2019

Adversarial Attack against Modeling Attack on PUFs.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
SOLAR: Simultaneous optimization of control-layer pins placement and channel routing in flow-based microfluidic biochips.
Proceedings of the 2018 International Symposium on VLSI Design, 2018

Register PUF with No Power-Up Restrictions.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Digital Rights Management for Paper-Based Microfluidic Biochips.
Proceedings of the 27th IEEE Asian Test Symposium, 2018

2017
Design Methodology of Fault-Tolerant Custom 3D Network-on-Chip.
ACM Trans. Design Autom. Electr. Syst., 2017

2016 IEEE Education Society Awards, 2016 Frontiers in Education Conference Awards, and Selected IEEE Awards.
IEEE Trans. Educ., 2017

Layout-Aware Optimized Prebond Silicon Interposer Test Synthesis.
IEEE Des. Test, 2017

Design-for-testability for paper-based digital microfluidic biochips.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2017

Testing Clock Distribution Networks.
Proceedings of the 26th IEEE Asian Test Symposium, 2017

2016
A Comprehensive Medicine Management System with Multiple Sources in a Nursing Home in Taiwan.
IEICE Trans. Inf. Syst., 2016

WristEye: Wrist-Wearable Devices and a System for Supporting Elderly Computer Learners.
IEEE Access, 2016

Test and diagnosis of paper-based microfluidic biochips.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

A real-time mobile emergency assistance system for helping deaf-mute people/elderly singletons.
Proceedings of the IEEE International Conference on Consumer Electronics, 2016

An efficient fault tolerance path finding algorithm for improving the robustness of multichannel wireless mesh networks.
Proceedings of the IEEE International Conference on Consumer Electronics, 2016

An adaptive residential energy management scheme in the smart home.
Proceedings of the IEEE International Conference on Consumer Electronics, 2016

Design and development of an extensible multi-protocol automotive gateway.
Proceedings of the IEEE International Conference on Consumer Electronics-Taiwan, 2016

Test generation for combinational hardware Trojans.
Proceedings of the 2016 IEEE Asian Hardware-Oriented Security and Trust, 2016

A wearable-glasses-based drowsiness-fatigue-detection system for improving road safety.
Proceedings of the IEEE 5th Global Conference on Consumer Electronics, 2016

Side-Channel Attack on Flipped Scan Chains.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

Congestion- and timing-driven droplet routing for pin-constrained paper-based microfluidic biochips.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
An intelligent vehicular telematics platform for vehicle driving safety supporting system.
Proceedings of the International Conference on Connected Vehicles and Expo, 2015

A breakpoint-based silicon debug technique with cycle-granularity for handshake-based SoC.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
An Oscillation-Based On-Chip Temperature-Aware Dynamic Voltage and Frequency Scaling Scheme in System-on-a-Chip.
IEICE Trans. Inf. Syst., 2014

Fast and accurate statistical static timing analysis.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

A Hybrid Multi-functions Digital Public Address System with Earthquake Early Warning.
Proceedings of the 2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, 2014

Improving Output Compaction Efficiency with High Observability Scan Chains.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

Optimized Pre-bond Test Methodology for Silicon Interposer Testing.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2013
IEEE 1500 Compatible Multilevel Maximal Concurrent Interconnect Test.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Oscillation and Transition Tests for Synchronous Sequential Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2013

CusNoC: Fast Full-Chip Custom NoC Generation.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Interconnect-Driven Floorplanning with Noise-Aware Buffer Planning.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

Low-cost testing of TSVs in 3D stacks with pre-bond testable dies.
Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test, 2013

A 0.3 V low-power temperature-insensitive ring oscillator in 90 nm CMOS process.
Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test, 2013

Synthesis of 3D clock tree with pre-bond testability.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A Layout-Aware Test Methodology for Silicon Interposer in System-in-a-Package.
Proceedings of the 22nd Asian Test Symposium, 2013

Leakage Monitoring Technique in Near-Threshold Systems with a Time-Based Bootstrapped Ring Oscillator.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
Layout-Aware Multiple Scan Tree Synthesis for 3-D SoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Low-power delay test architecture for pre-bond test.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Intelligent home management in the smart grids.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

De Bruijn graph-based communication modeling for fault tolerance in smart grids.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

2011
Maximal Interconnect Resilient Methodology for Fault Tolerance, Yield, and Reliability Improvement in Network on Chip.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

Synthesizing Multiple Scan Trees to Optimize Test Application Time.
IEEE Des. Test Comput., 2011

Fault tolerant application-specific NoC topology synthesis for three-dimensional integrated circuits.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

A Unified Interconnects Testing Scheme for 3D Integrated Circuits.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
Multiple Scan Trees Synthesis for Test Time/Data and Routing Length Reduction Under Output Constraint.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Maximal Resilience for Reliability and Yield Enhancement in Interconnect Structure.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
A Unified Detection Scheme for Crosstalk Effects in Interconnection Bus.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Scan-Chain Partition for High Test-Data Compressibility and Low Shift Power Under Routing Constraint.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Low Peak Power ATPG for n-Detection Test.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Level Converting Scan Flip-flops.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

IEEE 1500 Compatible Interconnect Test with Maximal Test Concurrency.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

Multiple Scan Trees Synthesis for Test Time/Data and Routing Length Reduction under Output Constraint.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

Temperature-aware dynamic frequency and voltage scaling for reliability and yield enhancement.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Layout-aware scan chain reorder for launch-off-shift transition test coverage.
ACM Trans. Design Autom. Electr. Syst., 2008

Design and analysis of skewed-distribution scan chain partition for improved test data compression.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Interconnect-Driven Layout-Aware Multiple Scan Tree Synthesis for Test Time, Data Compression and Routing Optimization.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
Multilevel Full-Chip Routing With Testability and Yield Enhancement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

IEEE Standard 1500 Compatible Oscillation Ring Test Methodology for Interconnect Delay and Crosstalk Detection.
J. Electron. Test., 2007

Low Capture Power Test Generation for Launch-off-Capture Transition Test Based on Don't-Care Filling.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Test Data and Test Time Reduction for LOS Transition Test in Multi-Mode Segmented Scan Architecture.
Proceedings of the 16th Asian Test Symposium, 2007

Layout-Aware Multi-Layer Multi-Level Scan Tree Synthesis.
Proceedings of the 16th Asian Test Symposium, 2007

2006
IEEE Standard 1500 Compatible Interconnect Diagnosis for Delay and Crosstalk Faults.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Layout-Aware Scan Chain Reorder for Skewed-Load Transition Test Coverage.
Proceedings of the 15th Asian Test Symposium, 2006

2005
Finite State Machine Synthesis for At-Speed Oscillation Testability.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

Oscillation ring based interconnect test scheme for SOC.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
A Unified Approach to Detecting Crosstalk Faults of Interconnects in Deep Sub-Micron VLSI.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

2003
Noise-aware buffer planning for interconnect-driven floorplanning.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003


  Loading...