Christian Bachmann

Orcid: 0000-0003-4180-2758

According to our database1, Christian Bachmann authored at least 58 papers between 2009 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
23.5 A 7.6mW IR-UWB Receiver Achieving -13dBm Blocker Resilience with a Linear RF Front-End.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
Phase-Based Ranging in Narrowband Systems With Missing/Interfered Tones.
IEEE Internet Things J., September, 2023

An 8.7 mW/TX, 21 mW/RX 6-to-9GHz IEEE 802.15.4a/4z Compliant IR-UWB Transceiver with Pulse Pre-Emphasis achieving 14mm Ranging Precision.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

A 380μW IEEE 802.15.4z IR-UWB pulse-mixing transmitter featuring enable-locking RFDCO with extensive duty-cycling in 22nm FDSOI.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

2022
Highly Dynamic 2-DOF Cable-Driven Robotic Wrist Based on a Novel Topology.
IEEE Robotics Autom. Lett., 2022

An Injection-Locked Ring-Oscillator-Based Fractional-N Digital PLL Supporting BLE Frequency Modulation.
IEEE J. Solid State Circuits, 2022

A 1.66Gb/s and 5.8pJ/b Transcutaneous IR-UWB Telemetry System with Hybrid Impulse Modulation for Intracortical Brain-Computer Interfaces.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022


2021
Secure, Accurate, and Practical Narrow-Band Ranging System.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2021

An IR-UWB IEEE 802.15.4z Compatible Coherent Asynchronous Polar Transmitter in 28-nm CMOS.
IEEE J. Solid State Circuits, 2021

A Bluetooth 5 Transceiver With a Phase-Tracking RX and Its Corresponding Digital Baseband in 40-nm CMOS.
IEEE J. Solid State Circuits, 2021

21.2 A 3-to-10GHz 180pJ/b IEEE802.15.4z/4a IR-UWB Coherent Polar Transmitter in 28nm CMOS with Asynchronous Amplitude Pulse-Shaping and Injection-Locked Phase Modulation.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

2020
A 33-ppm/°C 240-nW 40-nm CMOS Wakeup Timer Based on a Bang-Bang Digital-Intensive Frequency-Locked-Loop for IoT Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

A Millimeter-Scale Crystal-Less MICS Transceiver for Insertable Smart Pills.
IEEE Trans. Biomed. Circuits Syst., 2020

A 0.9pJ/Cycle 8ppm/°C DFLL-Based Wakeup Timer Enabled by a Time-Domain Trimming and An Embedded Temperature Sensing.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

30.8 A 3.5mm×3.8mm Crystal-Less MICS Transceiver Featuring Coverages of ±160ppm Carrier Frequency Offset and 4.8-VSWR Antenna Impedance for Insertable Smart Pills.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

30.6 A Low-Power BLE Transceiver with Support for Phase-Based Ranging, Featuring 5µs PLL Locking Time and 5.3ms Ranging Time, Enabled by Staircase-Chirp PLL with Sticky-Lock Channel-Switching.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

2019
A Hardware-Accelerated Estimation-Based Power Profiling Unit - Enabling Early Power-Aware Embedded Software Design and On-Chip Power Management.
Trans. High Perform. Embed. Archit. Compil., 2019

A Low-Power Fast Start-Up Crystal Oscillator With an Autonomous Dynamically Adjusted Load.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Design and Analysis of a DCO-Based Phase-Tracking RF Receiver for IoT Applications.
IEEE J. Solid State Circuits, 2019

A CMOS Polar Class-G Switched-Capacitor PA With a Single High-Current Supply, for LTE NB-IoT and eMTC.
IEEE J. Solid State Circuits, 2019

A high-accuracy concurrent phase-based ranging for large-scale dense BLE network.
Proceedings of the 30th IEEE Annual International Symposium on Personal, 2019

A680 μW Burst-Chirp UWB Radar Transceiver for Vital Signs and Occupancy Sensing up to 15m Distance.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

2018
A Hybrid Design Automation Tool for SAR ADCs in IoT.
IEEE Trans. Very Large Scale Integr. Syst., 2018

A 0.8V 0.8mm<sup>2</sup> bluetooth 5/BLE digital-intensive transceiver with a 2.3mW phase-tracking RX utilizing a hybrid loop filter for interference resilience in 40nm CMOS.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A CMOS Polar Single-Supply Class-G SCPA for LTE NB-IoT and Cat-M1.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

A circuit-design-driven tool with a hybrid automation approach for SAR ADCs in IoT.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
An Energy-Efficient Antenna Impedance Detection Using Electrical Balance for Single-Step On-Chip Tunable Matching in Wearable/Implantable Applications.
IEEE Trans. Biomed. Circuits Syst., 2017

The Design Challenges of IoT: From System Technologies to Ultra-Low Power Circuits.
IEICE Trans. Electron., 2017

17.4 A sub-mW antenna-impedance detection using electrical balance for single-step on-chip tunable matching in wearable/implantable applications.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

24.1 A 770pJ/b 0.85V 0.3mm<sup>2</sup> DCO-based phase-tracking RX featuring direct demodulation and data-aided carrier tracking for IoT applications.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

24.7 A 673µW 1.8-to-2.5GHz dividerless fractional-N digital PLL with an inherent frequency-capture capability and a phase-dithering spur mitigation for IoT applications.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

5.3 A 95µW 24MHz digitally controlled crystal oscillator for IoT applications with 36nJ start-up energy and >13× start-up time reduction using a fully-autonomous dynamically-adjusted load.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

A 8mW-RX/113mW-TX, Sub-GHz SoC with time-dithered PA ramping for LPWAN applications.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

2016
A 1.3 nJ/b IEEE 802.11ah Fully-Digital Polar Transmitter for IoT Applications.
IEEE J. Solid State Circuits, 2016

26.3 A 1.3nJ/b IEEE 802.11ah fully digital polar transmitter for IoE applications.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

A 0.9-1.2V supplied, 2.4GHz Bluetooth Low Energy 4.0/4.2 and 802.15.4 transceiver SoC optimized for battery life.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

2015
A 3.5mW 315/400MHz IEEE802.15.6/proprietary mode digitally-tunable radio SoC with integrated digital baseband and MAC processor in 40nm CMOS.
Proceedings of the Symposium on VLSI Circuits, 2015

13.2 A 3.7mW-RX 4.4mW-TX fully integrated Bluetooth Low-Energy/IEEE802.15.4/proprietary SoC with an ADPLL-based fast frequency offset compensation in 40nm CMOS.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

2014
A fast convergence two-stage AGC for a Bluetooth low energy radio with 84dB tuning range.
Proceedings of the 25th IEEE Annual International Symposium on Personal, 2014

10.6 A 0.74V 200μW multi-standard transceiver digital baseband in 40nm LP-CMOS for 2.4GHz Bluetooth Smart / ZigBee / IEEE 802.15.6 personal area networks.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2013
An energy-aware and scalable UWB Impulse Radio baseband supporting coherent reception.
Proceedings of the 2013 IEEE Global Communications Conference, 2013

In-situ performance monitor employing threshold based notifications (TheBaN).
Proceedings of the ESSCIRC 2013, 2013

Power and Thermal Fault Effect Exploration Framework for Reader/Smart Card Designs.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

2012
Low-power wireless sensor nodes for ubiquitous long-term biomedical signal monitoring.
IEEE Commun. Mag., 2012

2011
An Automated Power Emulation Framework for Embedded Software - Detecting Power-Critical Code Regions and Optimizing Software-Induced Power Consumption Peaks.
J. Low Power Electron., 2011

Supply voltage emulation platform for DVFS voltage drop compensation explorations.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2011

Accelerating early design phase differential power analysis using power emulation techniques.
Proceedings of the HOST 2011, 2011

2010
Power-aware hardware/software codesign of mobile devices.
Elektrotech. Informationstechnik, 2010

An Automated Framework for Power-Critical Code Region Detection and Power Peak Optimization of Embedded Software.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2010

Power emulation: Methodology and applications for HW/SW power optimization.
Proceedings of the 8th ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE 2010), 2010

Power emulation based DVFS efficiency investigations for embedded systems.
Proceedings of the 2010 International Symposium on System on Chip, SoC 2010, Tampere, 2010

Automated Power Characterization for Run-Time Power Emulation of SoC Designs.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

Estimation-based run-time power profile flattening for RF-powered smart card systems.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2009
An emulation-based real-time power profiling unit for embedded software.
Proceedings of the 2009 International Conference on Embedded Computer Systems: Architectures, 2009

Accelerating Embedded Software Power Profiling Using Run-Time Power Emulation.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009

A low-power ASIP for IEEE 802.15.4a ultra-wideband impulse radio baseband processing.
Proceedings of the Design, Automation and Test in Europe, 2009

Low-Power ASIP Architecture Exploration and Optimization for Reed-Solomon Processing.
Proceedings of the 20th IEEE International Conference on Application-Specific Systems, 2009


  Loading...