Yao-Hong Liu

Orcid: 0000-0002-3256-6741

According to our database1, Yao-Hong Liu authored at least 73 papers between 2007 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
A 1.8-65 fJ/Conv.-Step 64-dB SNDR Continuous- Time Level Crossing ADC Exploiting Dynamic Self-Biasing Comparators.
IEEE J. Solid State Circuits, April, 2024

6.2 An Ultrasound-Powering TX with a Global Charge-Redistribution Adiabatic Drive Achieving 69% Power Reduction and 53° Maximum Beam Steering Angle for Implantable Applications.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

23.5 A 7.6mW IR-UWB Receiver Achieving -13dBm Blocker Resilience with a Linear RF Front-End.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
A 3-320 fJ/conv.step Continuous Time Level Crossing ADC with Dynamic Self-Biasing Comparators Achieving 61.4 dB-SNDR.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

An 8.7 mW/TX, 21 mW/RX 6-to-9GHz IEEE 802.15.4a/4z Compliant IR-UWB Transceiver with Pulse Pre-Emphasis achieving 14mm Ranging Precision.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

A 380μW IEEE 802.15.4z IR-UWB pulse-mixing transmitter featuring enable-locking RFDCO with extensive duty-cycling in 22nm FDSOI.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

2022
An Energy-Efficient and High-Data-Rate IR-UWB Transmitter for Intracortical Neural Sensing Interfaces.
IEEE J. Solid State Circuits, 2022

An Injection-Locked Ring-Oscillator-Based Fractional-N Digital PLL Supporting BLE Frequency Modulation.
IEEE J. Solid State Circuits, 2022

An Implantable Neuromorphic Sensing System Featuring Near-Sensor Computation and Send-on-Delta Transmission for Wireless Neural Sensing of Peripheral Nerves.
IEEE J. Solid State Circuits, 2022

A 1.66Gb/s and 5.8pJ/b Transcutaneous IR-UWB Telemetry System with Hybrid Impulse Modulation for Intracortical Brain-Computer Interfaces.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022


2021
Enabling Robust Radar-Based Localization and Vital Signs Monitoring in Multipath Propagation Environments.
IEEE Trans. Biomed. Eng., 2021

An IR-UWB IEEE 802.15.4z Compatible Coherent Asynchronous Polar Transmitter in 28-nm CMOS.
IEEE J. Solid State Circuits, 2021

A Bluetooth 5 Transceiver With a Phase-Tracking RX and Its Corresponding Digital Baseband in 40-nm CMOS.
IEEE J. Solid State Circuits, 2021

2-D Localization, Angular Separation and Vital Signs Monitoring Using a SISO FMCW Radar for Smart Long-Term Health Monitoring Environments.
IEEE Internet Things J., 2021

Session 21 Overview: UWB Systems and Wake-Up Receivers Wireless Subcommittee.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

F4: Electronics for a Quantum World.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

21.2 A 3-to-10GHz 180pJ/b IEEE802.15.4z/4a IR-UWB Coherent Polar Transmitter in 28nm CMOS with Asynchronous Amplitude Pulse-Shaping and Injection-Locked Phase Modulation.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A 28.2 μC Neuromorphic Sensing System Featuring SNN-based Near-sensor Computation and Event-Driven Body-Channel Communication for Insertable Cardiac Monitoring.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021

2020
A Switched-Capacitor DC-DC Converter Powering an LC Oscillator to Achieve 85% System Peak Power Efficiency and -65dBc Spurious Tones.
IEEE Trans. Circuits Syst., 2020

Analysis and Design of Power Supply Circuits for RF Oscillators.
IEEE Trans. Circuits Syst., 2020

A 33-ppm/°C 240-nW 40-nm CMOS Wakeup Timer Based on a Bang-Bang Digital-Intensive Frequency-Locked-Loop for IoT Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

A Millimeter-Scale Crystal-Less MICS Transceiver for Insertable Smart Pills.
IEEE Trans. Biomed. Circuits Syst., 2020

A 0.9pJ/Cycle 8ppm/°C DFLL-Based Wakeup Timer Enabled by a Time-Domain Trimming and An Embedded Temperature Sensing.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

30.8 A 3.5mm×3.8mm Crystal-Less MICS Transceiver Featuring Coverages of ±160ppm Carrier Frequency Offset and 4.8-VSWR Antenna Impedance for Insertable Smart Pills.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

30.6 A Low-Power BLE Transceiver with Support for Phase-Based Ranging, Featuring 5µs PLL Locking Time and 5.3ms Ranging Time, Enabled by Staircase-Chirp PLL with Sticky-Lock Channel-Switching.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

2019
A Low-Power Fast Start-Up Crystal Oscillator With an Autonomous Dynamically Adjusted Load.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Design and Analysis of a DCO-Based Phase-Tracking RF Receiver for IoT Applications.
IEEE J. Solid State Circuits, 2019

A Supply Pushing Reduction Technique for LC Oscillators Based on Ripple Replication and Cancellation.
IEEE J. Solid State Circuits, 2019

A CMOS Polar Class-G Switched-Capacitor PA With a Single High-Current Supply, for LTE NB-IoT and eMTC.
IEEE J. Solid State Circuits, 2019

A680 μW Burst-Chirp UWB Radar Transceiver for Vital Signs and Occupancy Sensing up to 15m Distance.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

2018
A Hybrid Design Automation Tool for SAR ADCs in IoT.
IEEE Trans. Very Large Scale Integr. Syst., 2018

A Direct Phase-Tracking Doppler Radar Using Wavelet Independent Component Analysis for Non-Contact Respiratory and Heart Rate Monitoring.
IEEE Trans. Biomed. Circuits Syst., 2018

Endurable SSD-Based Read Cache for Improving the Performance of Selective Restore from Deduplication Systems.
J. Comput. Sci. Technol., 2018

A 0.8V 0.8mm<sup>2</sup> bluetooth 5/BLE digital-intensive transceiver with a 2.3mW phase-tracking RX utilizing a hybrid loop filter for interference resilience in 40nm CMOS.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A CMOS Polar Single-Supply Class-G SCPA for LTE NB-IoT and Cat-M1.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

A circuit-design-driven tool with a hybrid automation approach for SAR ADCs in IoT.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
An Ultra-Low Power 1.7-2.7 GHz Fractional-N Sub-Sampling Digital Frequency Synthesizer and Modulator for IoT Applications in 40 nm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

An Energy-Efficient Antenna Impedance Detection Using Electrical Balance for Single-Step On-Chip Tunable Matching in Wearable/Implantable Applications.
IEEE Trans. Biomed. Circuits Syst., 2017

Frequency-Tracking CW Doppler Radar Solving Small-Angle Approximation and Null Point Issues in Non-Contact Vital Signs Monitoring.
IEEE Trans. Biomed. Circuits Syst., 2017

A 46 µW 13 b 6.4 MS/s SAR ADC With Background Mismatch and Offset Calibration.
IEEE J. Solid State Circuits, 2017

The Design Challenges of IoT: From System Technologies to Ultra-Low Power Circuits.
IEICE Trans. Electron., 2017

17.4 A sub-mW antenna-impedance detection using electrical balance for single-step on-chip tunable matching in wearable/implantable applications.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

24.1 A 770pJ/b 0.85V 0.3mm<sup>2</sup> DCO-based phase-tracking RX featuring direct demodulation and data-aided carrier tracking for IoT applications.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

24.7 A 673µW 1.8-to-2.5GHz dividerless fractional-N digital PLL with an inherent frequency-capture capability and a phase-dithering spur mitigation for IoT applications.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

5.3 A 95µW 24MHz digitally controlled crystal oscillator for IoT applications with 36nJ start-up energy and >13× start-up time reduction using a fully-autonomous dynamically-adjusted load.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

A 8mW-RX/113mW-TX, Sub-GHz SoC with time-dithered PA ramping for LPWAN applications.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

2016
A 1.3 nJ/b IEEE 802.11ah Fully-Digital Polar Transmitter for IoT Applications.
IEEE J. Solid State Circuits, 2016

26.3 A 1.3nJ/b IEEE 802.11ah fully digital polar transmitter for IoE applications.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

A 0.9-1.2V supplied, 2.4GHz Bluetooth Low Energy 4.0/4.2 and 802.15.4 transceiver SoC optimized for battery life.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

2015
A 0.33 nJ/bit IEEE802.15.6/Proprietary MICS/ISM Wireless Transceiver With Scalable Data Rate for Medical Implantable Applications.
IEEE J. Biomed. Health Informatics, 2015

A 3.5mW 315/400MHz IEEE802.15.6/proprietary mode digitally-tunable radio SoC with integrated digital baseband and MAC processor in 40nm CMOS.
Proceedings of the Symposium on VLSI Circuits, 2015

13.2 A 3.7mW-RX 4.4mW-TX fully integrated Bluetooth Low-Energy/IEEE802.15.4/proprietary SoC with an ADPLL-based fast frequency offset compensation in 40nm CMOS.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

26.2 A 5.5fJ/conv-step 6.4MS/S 13b SAR ADC utilizing a redundancy-facilitated background error-detection-and-correction scheme.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

A digital to time converter with fully digital calibration scheme for ultra-low power ADPLL in 40 nm CMOS.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Design and built-in characterization of digital-to-time converters for ultra-low power ADPLLs.
Proceedings of the ESSCIRC Conference 2015, 2015

2014
A 1.2 nJ/bit 2.4 GHz Receiver With a Sliding-IF Phase-to-Digital Converter for Wireless Personal/Body Area Networks.
IEEE J. Solid State Circuits, 2014

A fast convergence two-stage AGC for a Bluetooth low energy radio with 84dB tuning range.
Proceedings of the 25th IEEE Annual International Symposium on Personal, 2014

9.7 A 0.33nJ/b IEEE802.15.6/proprietary-MICS/ISM-band transceiver with scalable data-rate from 11kb/s to 4.5Mb/s for medical applications.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

9.5 A 1.2nJ/b 2.4GHz receiver with a sliding-IF phase-to-digital converter for wireless personal/body-area networks.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

9.8 An 860μW 2.1-to-2.7GHz all-digital PLL-based frequency modulator with a DTC-assisted snapshot TDC for WPAN (Bluetooth Smart and ZigBee) applications.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2013
A 650-pJ/bit MedRadio Transmitter With an FIR-Embedded Phase Modulator for Medical Micro-Power Networks (MMNs).
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

A 1.9nJ/b 2.4GHz multistandard (Bluetooth Low Energy/Zigbee/IEEE802.15.6) transceiver for personal/body-area networks.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

2012
An energy-efficient polar transmitter for IEEE 802.15.6 body area networks: system requirements and circuit designs.
IEEE Commun. Mag., 2012

A 2.7nJ/b multi-standard 2.3/2.4GHz polar transmitter for wireless sensor networks.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

2011
A 15-mW 2.4-GHz IEEE 802.15.4 transmitter with a FIR-embedded phase modulator.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

An energy-efficient super-regenerative ASK receiver with a ΔΣ-based pulse-width demodulator.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

2010
A Delta-Sigma Pulse-Width Digitization Technique for Super-Regenerative Receivers.
IEEE J. Solid State Circuits, 2010

2009
Dynamic Current-Matching Charge Pump and Gated-Offset Linearization Technique for Delta-Sigma Fractional- N PLLs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

A Wideband PLL-Based G/FSK Transmitter in 0.18 µm CMOS.
IEEE J. Solid State Circuits, 2009

2008
A 2.4-GHz fractional-N PLL with a PFD/CP linearization and an improved CP circuit.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A 3.5-mW 15-Mbps O-QPSK transmitter for real-time wireless medical imaging applications.
Proceedings of the IEEE 2008 Custom Integrated Circuits Conference, 2008

2007
An energy-efficient 1.5-Mbps wireless FSK transmitter with A ∑Δ-modulated phase rotator.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007


  Loading...