Masoud Babaie

According to our database1, Masoud Babaie authored at least 69 papers between 2006 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A Cryo-CMOS SAR ADC With FIA Sampling Driver Enabled by Cryogenic-Aware Back-Biasing.
IEEE Trans. Circuits Syst. I Regul. Pap., March, 2024

2023
A Digital PLL-Based Phase Modulator With Non-Uniform Clock Compensation and Non-linearity Predistortion.
IEEE J. Solid State Circuits, September, 2023

A Cryo-CMOS PLL for Quantum Computing Applications.
IEEE J. Solid State Circuits, May, 2023

A Highly Linear Receiver Using Parallel Preselect Filter for 5G Microcell Base Station Applications.
IEEE J. Solid State Circuits, 2023

A 1-GS/s 6-8-b Cryo-CMOS SAR ADC for Quantum Computing.
IEEE J. Solid State Circuits, 2023

A Low-Spur Fractional-N PLL Based on a Time-Mode Arithmetic Unit.
IEEE J. Solid State Circuits, 2023

A 300MHz-BW, 27-to-38dBm In-Band OIP3 sub-7GHz Receiver for 5G Local Area Base Station Applications.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

Scalable multi-chip quantum architectures enabled by cryogenic hybrid wireless/quantum-coherent network-in-package.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A Benchmark of Cryo-CMOS 40-nm Embedded SRAM/DRAMs for Quantum Computing.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

2022
A Cryo-CMOS Oscillator With an Automatic Common-Mode Resonance Calibration for Quantum Computing Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Interconnects for DNA, Quantum, In-Memory, and Optical Computing: Insights From a Panel Discussion.
IEEE Micro, 2022

A Four-Way Series Doherty Digital Polar Transmitter at mm-Wave Frequencies.
IEEE J. Solid State Circuits, 2022

A Low-Jitter and Low-Spur Charge-Sampling PLL.
IEEE J. Solid State Circuits, 2022

A Fractional-N Digitally Intensive PLL Achieving 428-fs Jitter and <sub>pp</sub> Supply Ripple.
IEEE J. Solid State Circuits, 2022

A DPLL-Based Phase Modulator Achieving -46dB EVM with A Fast Two-Step DCO Nonlinearity Calibration and Non-Uniform Clock Compensation.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

A 3V 15b 157μW Cryo-CMOS DAC for Multiplexed Spin-Qubit Biasing.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

A 23-to-29GHz Receiver with mm-Wave N-Input-N-Output Spatial Notch Filtering and Autonomous Notch-Steering Achieving 20-to-40dB mm-Wave Spatial Rejection and -14dBm In-Notch IP1 dB.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A 0.049mm2 7.1-to-16.8GHz Dual-Core Triple-Mode VCO Achieving 200dB FoM<sub>A</sub> in 22nm FinFET.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A 2.6-to-4.1GHz Fractional-N Digital PLL Based on a Time-Mode Arithmetic Unit Achieving -249.4dB FoM and -59dBc Fractional Spurs.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

Cryogenic Comparator Characterization and Modeling for a Cryo-CMOS 7b 1-GSa/s SAR ADC.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

Cryogenic CMOS for Qubit Control and Readout.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

A 0.5-3GHz Receiver with a Parallel Preselect Filter Achieving 120dB/dec Channel Selectivity and +28dBm Out-of-Band IIP3.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

2021
A 200-μW Interface for High-Resolution Eddy-Current Displacement Sensors.
IEEE J. Solid State Circuits, 2021

A 6-to-8GHz 0.17mW/Qubit Cryo-CMOS Receiver for Multiple Spin Qubit Readout in 40nm CMOS Technology.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

6.5 A 3dB-NF 160MHz-RF-BW Blocker-Tolerant Receiver with Third-Order Filtering for 5G NR Applications.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

13.4 A 1GS/s 6-to-8b 0.5mW/Qubit Cryo-CMOS SAR ADC for Quantum Computing in 40nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A 30GHz 4-way Series Doherty Digital Polar Transmitter Achieving 18% Drain Efficiency and -27.6dB EVM while Transmitting 300MHz 64-QAM OFDM Signal.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

A 2.7mW 45fsrms-Jitter Cryogenic Dynamic-Amplifier-Based PLL for Quantum Computing Applications.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

2020
A Switched-Capacitor DC-DC Converter Powering an LC Oscillator to Achieve 85% System Peak Power Efficiency and -65dBc Spurious Tones.
IEEE Trans. Circuits Syst., 2020

Analysis and Design of Power Supply Circuits for RF Oscillators.
IEEE Trans. Circuits Syst., 2020

Designing a DDS-Based SoC for High-Fidelity Multi-Qubit Control.
IEEE Trans. Circuits Syst., 2020

A Wideband Low-Power Cryogenic CMOS Circulator for Quantum Applications.
IEEE J. Solid State Circuits, 2020

A Scalable Cryo-CMOS Controller for the Wideband Frequency-Multiplexed Control of Spin Qubits and Transmons.
IEEE J. Solid State Circuits, 2020

A 200μW Eddy Current Displacement Sensor with 6.7nmRMS Resolution.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

19.1 A Scalable Cryo-CMOS 2-to-20GHz Digitally Intensive Controller for 4×32 Frequency Multiplexed Spin Qubits/Transmons in 22nm FinFET Technology for Quantum Computers.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

19.3 A 200dB FoM 4-to-5GHz Cryogenic Oscillator with an Automatic Common-Mode Resonance Calibration for Quantum Computing Applications.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

Cryo-CMOS for Analog/Mixed-Signal Circuits and Systems.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

2019
A Supply Pushing Reduction Technique for LC Oscillators Based on Ripple Replication and Cancellation.
IEEE J. Solid State Circuits, 2019

SPINE (SPIN Emulator) - A Quantum-Electronics Interface Simulator.
Proceedings of the IEEE 8th International Workshop on Advances in Sensors and Interfaces, 2019

A680 μW Burst-Chirp UWB Radar Transceiver for Vital Signs and Occupancy Sensing up to 15m Distance.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

Benefits and Challenges of Designing Cryogenic CMOS RF Circuits for Quantum Computers.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Subthreshold Mismatch in Nanometer CMOS at Cryogenic Temperatures.
Proceedings of the 49th European Solid-State Device Research Conference, 2019

Voltage References for the Ultra-Wide Temperature Range from 4.2K to 300K in 40-nm CMOS.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

2018
An All-Digital PLL for Cellular Mobile Phones in 28-nm CMOS with -55 dBc Fractional and -91 dBc Reference Spurs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A 0.5-V 1.6-mW 2.4-GHz Fractional-N All-Digital PLL for Bluetooth LE With PVT-Insensitive TDC Using Switched-Capacitor Doubler in 28-nm CMOS.
IEEE J. Solid State Circuits, 2018

Cryo-CMOS Circuits and Systems for Quantum Computing Applications.
IEEE J. Solid State Circuits, 2018

Characterization and Model Validation of Mismatch in Nanometer CMOS at Cryogenic Temperatures.
Proceedings of the 48th European Solid-State Device Research Conference, 2018

Towards a scalable quantum computer.
Proceedings of the 13th International Conference on Design & Technology of Integrated Systems In Nanoscale Era, 2018

A co-design methodology for scalable quantum processors and their classical electronic interface.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Tuning Range Extension of a Transformer-Based Oscillator Through Common-Mode Colpitts Resonance.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

A Total-Power Radiometer Front End in a 0.25-µ BiCMOS Technology With Low 1/f-Corner.
IEEE J. Solid State Circuits, 2017

A Bluetooth Low-Energy Transceiver With 3.7-mW All-Digital Transmitter, 2.75-mW High-IF Discrete-Time Receiver, and TX/RX Switchable On-Chip Matching Network.
IEEE J. Solid State Circuits, 2017

Cryogenic CMOS interfaces for quantum devices.
Proceedings of the 7th IEEE International Workshop on Advances in Sensors and Interfaces, 2017

15.5 Cryo-CMOS circuits and systems for scalable quantum computing.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Cryo-CMOS Electronic Control for Scalable Quantum Computing: Invited.
Proceedings of the 54th Annual Design Automation Conference, 2017

A 350-mV 2.4-GHz quadrature oscillator with nearly instantaneous start-up using series LC tanks.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2017

2016
A 60 GHz Frequency Generator Based on a 20 GHz Oscillator and an Implicit Multiplier.
IEEE J. Solid State Circuits, 2016

A 1/f Noise Upconversion Reduction Technique for Voltage-Biased RF CMOS Oscillators.
IEEE J. Solid State Circuits, 2016

A Fully Integrated Bluetooth Low-Energy Transmitter in 28 nm CMOS With 36% System Efficiency at 3 dBm.
IEEE J. Solid State Circuits, 2016

A Bluetooth low-energy (BLE) transceiver with TX/RX switchable on-chip matching network, 2.75mW high-IF discrete-time receiver, and 3.6mW all-digital transmitter.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

2015
An Ultra-Low Phase Noise Class-F 2 CMOS Oscillator With 191 dBc/Hz FoM and Long-Term Reliability.
IEEE J. Solid State Circuits, 2015

25.4 A 1/f noise upconversion reduction technique applied to Class-D and Class-F oscillators.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

A fully integrated 28nm Bluetooth Low-Energy transmitter with 36% system efficiency at 3dBm.
Proceedings of the ESSCIRC Conference 2015, 2015

2014
A 12mW all-digital PLL based on class-F DCO for 4G phones in 28nm CMOS.
Proceedings of the Symposium on VLSI Circuits, 2014

2013
A Class-F CMOS Oscillator.
IEEE J. Solid State Circuits, 2013

Third-harmonic injection technique applied to a 5.87-to-7.56GHz 65nm CMOS Class-F oscillator with 192dBc/Hz FOM.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A study of RF oscillator reliability in nanoscale CMOS.
Proceedings of the 21st European Conference on Circuit Theory and Design, 2013

2007
Precision motion control for an X-Y table using the LOLIMOT Neuro-Fuzzy Friction compensation.
Proceedings of the IEEE International Conference on Robotics and Biomimetics, 2007

2006
A Novel Method for Systematic Error Prediction of CMOS Folding and Interpolating ADC.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006


  Loading...