Claude Chappert

According to our database1, Claude Chappert authored at least 33 papers between 2007 and 2015.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2015
Spintronics: Emerging Ultra-Low-Power Circuits and Systems beyond MOS Technology.
ACM J. Emerg. Technol. Comput. Syst., 2015

Yield and Reliability Improvement Techniques for Emerging Nonvolatile STT-MRAM.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2015

2014
Synchronous Non-Volatile Logic Gate Design Based on Resistive Switching Memories.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Ultra Low Power Magnetic Flip-Flop Based on Checkpointing/Power Gating and Self-Enable Mechanisms.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Design and analysis of crossbar architecture based on complementary resistive switching non-volatile memory cells.
J. Parallel Distributed Comput., 2014

Ferroelectric tunnel memristor-based neuromorphic network with 1T1R crossbar architecture.
Proceedings of the 2014 International Joint Conference on Neural Networks, 2014

Spintronics for low-power computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

An overview of spin-based integrated circuits.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Magnetic Adder Based on Racetrack Memory.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

A low-cost built-in error correction circuit design for STT-MRAM reliability improvement.
Microelectron. Reliab., 2013

Spin-electronics based logic fabrics.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

Emerging hybrid logic circuits based on non-volatile magnetic memories.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Synchronous full-adder based on complementary resistive switching memory cells.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Low power magnetic flip-flop based on checkpointing and self-enable mechanism.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Analytical study of complementary memristive synchronous logic gates.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2013

2012
Failure and reliability analysis of STT-MRAM.
Microelectron. Reliab., 2012

Cross-point architecture for spin transfer torque magnetic random access memory
CoRR, 2012

Crossbar architecture based on 2R complementary resistive switching memory cell.
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012

Nanodevice-based novel computing paradigms and the neuromorphic approach.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

MRAM crossbar based configurable logic block.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

2011
Design considerations and strategies for high-reliable STT-MRAM.
Microelectron. Reliab., 2011

Embedded MRAM for high-speed computing.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

High Performance SoC Design Using Magnetic Logic and Memory.
Proceedings of the VLSI-SoC: Advanced Research for Systems on Chip, 2011

Design of MRAM based logic circuits and its applications.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

Magnetic Look-Up Table (MLUT) Featuring Radiation Hardness, High Performance and Low Power.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2011

2010
Design of embedded MRAM macros for memory-in-logic applications.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

High Density Asynchronous LUT Based on Non-volatile MRAM Technology.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

2009
TAS-MRAM-Based Low-Power High-Speed Runtime Reconfiguration (RTR) FPGA.
ACM Trans. Reconfigurable Technol. Syst., 2009

Spin transfer torque (STT)-MRAM-based runtime reconfiguration FPGA circuit.
ACM Trans. Embed. Comput. Syst., 2009

2008
Spintronic Device Based Non-volatile Low Standby Power SRAM.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008

Injection locked CMOS buffer dedicated to nanomagnetic based voltage controlled oscillator.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

2007
Synthesis of Finite State Machines with Magnetic Domain Wall Logic.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

TAS-MRAM based Non-volatile FPGA logic circuit.
Proceedings of the 2007 International Conference on Field-Programmable Technology, 2007


  Loading...