Youguang Zhang

According to our database1, Youguang Zhang authored at least 147 papers between 2008 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Variation Aware Evaluation Approach and Design Methodology for SOT-MRAM.
IEEE Trans. Circuits Syst. I Regul. Pap., April, 2024

Area and Energy Efficient Short-Circuit-Logic-Based STT-MRAM Crossbar Array for Binary Neural Networks.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

RSACIM: Resistance Summation Analog Computing in Memory With Accuracy Optimization Scheme Based on MRAM.
IEEE Trans. Circuits Syst. I Regul. Pap., March, 2024

CiTST-AdderNets: Computing in Toggle Spin Torques MRAM for Energy-Efficient AdderNets.
IEEE Trans. Circuits Syst. I Regul. Pap., March, 2024

2023
Can Sea Surface Waves Be Simulated by Numerical Wave Models Using the Fusion Data from Remote-Sensed Winds?
Remote. Sens., August, 2023

Layout Aware Optimization Methodology for SOT-MRAM Based on Technically Feasible Top-Pinned Magnetic Tunnel Junction Process.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023

NAND-SPIN-based processing-in-MRAM architecture for convolutional neural network acceleration.
Sci. China Inf. Sci., April, 2023

A Reconfigurable Spatial Architecture for Energy-Efficient Inception Neural Networks.
IEEE J. Emerg. Sel. Topics Circuits Syst., March, 2023

Rain Rate Retrieval Algorithm for Dual-Polarized Sentinel-1 SAR in Tropical Cyclone.
IEEE Geosci. Remote. Sens. Lett., 2023

2022
SpinCIM: spin orbit torque memory for ternary neural networks based on the computing-in-memory architecture.
CCF Trans. High Perform. Comput., December, 2022

Reconfigurable Bit-Serial Operation Using Toggle SOT-MRAM for High-Performance Computing in Memory Architecture.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Wave Height Estimation and Validation Based on the UFS Mode Data of Gaofen-3 in South China Sea.
IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens., 2022

Evaluation of wave retrieval for Chinese Gaofen-3 synthetic aperture radar.
Geo spatial Inf. Sci., 2022

2021
Time-Domain Computing in Memory Using Spintronics for Energy-Efficient Convolutional Neural Network.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Scatterometer Sea Surface Wind Product Validation for HY-2C.
IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens., 2021

Evaluation of Sea Surface Winds and Waves Retrieved From the Chinese HY-2B Data.
IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens., 2021

Intercalibration of Backscatter Measurements among Ku-Band Scatterometers Onboard the Chinese HY-2 Satellite Constellation.
Remote. Sens., 2021

Fully Single Event Double Node Upset Tolerant Design for Magnetic Random Access Memory.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Computing-in-Memory Paradigm Based on STT-MRAM with Synergetic Read/Write-Like Modes.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

SpinLiM: Spin Orbit Torque Memory for Ternary Neural Networks Based on the Logic-in-Memory Architecture.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Bulkyflip: A NAND-SPIN-Based Last-Level Cache With Bandwidth-Oriented Write Management Policy.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

Field-Free 3T2SOT MRAM for Non-Volatile Cache Memories.
IEEE Trans. Circuits Syst., 2020

A Self-Matching Complementary-Reference Sensing Scheme for High-Speed and Reliable Toggle Spin Torque MRAM.
IEEE Trans. Circuits Syst., 2020

Validation and Calibration of Significant Wave Height and Wind Speed Retrievals from HY2B Altimeter Based on Deep Learning.
Remote. Sens., 2020

Global Assessments of the HY-2B Measurements and Cross-Calibrations with Jason-3.
Remote. Sens., 2020

Evaluating Chinese HY-2B HSCAT Ocean Wind Products Using Buoys and Other Scatterometers.
IEEE Geosci. Remote. Sens. Lett., 2020

A Comparative Cross-layer Study on Racetrack Memories: Domain Wall vs Skyrmion.
ACM J. Emerg. Technol. Comput. Syst., 2020

A Diode-Enhanced Scheme for Giant Magnetoresistance Amplification and Reconfigurable Logic.
IEEE Access, 2020

Movable-Type Transfer and Stacking of van der Waals Heterostructures for Spintronics.
IEEE Access, 2020

Computing-in-Memory Architecture Based on Field-Free SOT-MRAM with Self-Reference Method.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Efficient Time-Domain In-Memory Computing Based on TST-MRAM.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

PRISM: Energy-Efficient Polymorphic Operation Based on Spin-Orbit Torque Memory for Reconfigurable Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Deep Neural Network accelerator with Spintronic Memory.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

An In-memory Highly Reconfigurable Logic Circuit Based on Diode-assisted Enhanced Magnetoresistance Device.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

2019
PXNOR-BNN: In/With Spin-Orbit Torque MRAM Preset-XNOR Operation-Based Binary Neural Networks.
IEEE Trans. Very Large Scale Integr. Syst., 2019

DASM: Data-Streaming-Based Computing in Nonvolatile Memory Architecture for Embedded System.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Ultra-Dense Ring-Shaped Racetrack Memory Cache Design.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Novel Radiation Hardening Read/Write Circuits Using Feedback Connections for Spin-Orbit Torque Magnetic Random Access Memory.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

An STT-MRAM Based in Memory Architecture for Low Power Integral Computing.
IEEE Trans. Computers, 2019

Multi-Port 1R1W Transpose Magnetic Random Access Memory by Hierarchical Bit-Line Switching.
IEEE Access, 2019

Low-Power, High-Speed and High-Density Magnetic Non-Volatile SRAM Design with Voltage-Gated Spin-Orbit Torque.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

High speed and reliable Sensing Scheme with Three Voltages for STT-MRAM.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

Thermal Stable and Fast Perpendicular Shape Anisotropy Magnetic Tunnel Junction.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

Process Variation-Resilient STT-MTJ based TRNG using Linear Correcting Codes.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

Shaped Content Addressable Memory Based On Spin Orbit Torque Driven Chiral Domain Wall Motions.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

Exploiting Near-Memory Processing Architectures for Bayesian Neural Networks Acceleration.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Ultra-fast and Energy-efficient Write-Computing Operation for Neuromorphic Computing.
Proceedings of the 2019 International SoC Design Conference, 2019

Modulation and Demodulation of Digital Frequency Shift Keying System Based on Spin Torque Nano Oscillator with Voltage Controlled Magnetic Anisotropy Effect.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

SR-WTA: Skyrmion Racing Winner-Takes-All Module for Spiking Neural Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Magnetic Skyrmion-Based Neural Recording System Design for Brain Machine Interface.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Current Status of the HY-2B Satellite Radar Altimeter and its Prospect.
Proceedings of the 2019 IEEE International Geoscience and Remote Sensing Symposium, 2019

A Skyrmion Racetrack Memory based Computing In-memory Architecture for Binary Neural Convolutional Network.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

CORN: In-Buffer Computing for Binary Neural Network.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
A Fast and Power-Efficient Hardware Architecture for Visual Feature Detection in Affine-SIFT.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A high-reliability and low-power computing-in-memory implementation within STT-MRAM.
Microelectron. J., 2018

A Full-Sensing-Margin Dual-Reference Sensing Scheme for Deeply-Scaled STT-RAM.
IEEE Access, 2018

A Comparative Study on Racetrack Memories: Domain Wall vs. Skyrmion.
Proceedings of the IEEE 7th Non-Volatile Memory Systems and Applications Symposium, 2018

A Novel Cross-point MRAM with Diode Selector Capable of High-Density, High-Speed, and Low-Power In-Memory Computation.
Proceedings of the 14th IEEE/ACM International Symposium on Nanoscale Architectures, 2018

A Robust Dual Reference Computing-in-Memory Implementation and Design Space Exploration Within STT-MRAM.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Write Energy Optimization for STT-MRAM Cache with Data Pattern Characterization.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Emerging Neuromorphic Computing Paradigms Exploring Magnetic Skyrmions.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Radiation hardening design for spin-orbit torque magnetic random access memory.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Progresses and challenges of spin orbit torque driven magnetization switching and application (Invited).
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

NEAR: A Novel Energy Aware Replacement Policy for STT-MRAM LLCs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

The Simulation of Ocean Surface Wind Measured by Polarimetric Scatterometer.
Proceedings of the 2018 IEEE International Geoscience and Remote Sensing Symposium, 2018

The Wind Speed Inversion and In-Orbit Assessment of Imaging Altimeter on Tiangong-2 Space Station.
Proceedings of the 2018 IEEE International Geoscience and Remote Sensing Symposium, 2018

Design Space Exploration of Magnetic Tunnel Junction based Stochastic Computing in Deep Learning.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Magnetic skyrmions for future potential memory and logic applications: Alternative information carriers.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

High-Density and Fast-Configuration Non-Volatile Look-Up Table Based on NAND-Like Spintronic Memory.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

2017
Ocean Surface Current Inversion Method for a Doppler Scatterometer.
IEEE Trans. Geosci. Remote. Sens., 2017

Frequency modulation of spin torque nano oscillator with voltage controlled magnetic anisotropy effect.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2017

Compact modeling of high spin transfer torque efficiency double-barrier magnetic tunnel junction.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2017

Proposal for novel magnetic memory device with spin momentum locking materials.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2017

Reconfigurable processing in memory architecture based on spin orbit torque.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2017

The error transfer of Doppler spectrum model in ocean surface current direct inversion.
Proceedings of the 2017 IEEE International Geoscience and Remote Sensing Symposium, 2017

The ocean surface current inversion mehtod of Doppler scatterometer.
Proceedings of the 2017 IEEE International Geoscience and Remote Sensing Symposium, 2017

Programmable Stateful In-Memory Computing Paradigm via a Single Resistive Device.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Thermosiphon: A thermal aware NUCA architecture for write energy reduction of the STT-MRAM based LLCs.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

PRESCOTT: Preset-based cross-point architecture for spin-orbit-torque magnetic random access memory.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Advanced Low Power Spintronic Memories beyond STT-MRAM.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Voltage-controlled MRAM for working memory: Perspectives and challenges.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Ultrafast spintronic integrated circuits.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
Application-Level Scheduling With Probabilistic Deadline Constraints.
IEEE/ACM Trans. Netw., 2016

All Spin Artificial Neural Networks Based on Compound Spintronic Synapse and Neuron.
IEEE Trans. Biomed. Circuits Syst., 2016

Sea Surface Wind Speed Inversion Using the Low Incident NRCS Measured by TRMM Precipitation Radar.
IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens., 2016

Read disturbance issue and design techniques for nanoscale STT-MRAM.
J. Syst. Archit., 2016

The diversity of STF-coded MIMO-OFDM systems with a general correlation model.
EURASIP J. Wirel. Commun. Netw., 2016

Stochastic spintronic device based synapses and spiking neurons for neuromorphic computation.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

Ultra-low power all spin logic device acceleration based on voltage controlled magnetic anisotropy.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

Dual reference sensing scheme with triple steady states for deeply scaled STT-MRAM.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

A spin Hall effect-based multi-level cell for MRAM.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

Evaluation of spin-Hall-assisted STT-MRAM for cache replacement.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

Quantitative evaluation of reliability and performance for STT-MRAM.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Spin wave based synapse and neuron for ultra low power neuromorphic computation system.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Data fusion of sea surface height anomaly from HY-2A AND Jason-2.
Proceedings of the 2016 IEEE International Geoscience and Remote Sensing Symposium, 2016

A preliminary in situ calibration for HY-2A satellite altimeter.
Proceedings of the 2016 IEEE International Geoscience and Remote Sensing Symposium, 2016

On the improvement of the HY-2A scatterometer wind quality control.
Proceedings of the 2016 IEEE International Geoscience and Remote Sensing Symposium, 2016

Sea surface wind speed inversion using low incident NRCS.
Proceedings of the 2016 IEEE International Geoscience and Remote Sensing Symposium, 2016

PDS: pseudo-differential sensing scheme for STT-MRAM.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Spintronics: Emerging Ultra-Low-Power Circuits and Systems beyond MOS Technology.
ACM J. Emerg. Technol. Comput. Syst., 2015

Deployment Optimization of Uniform Linear Antenna Arrays for a Two-Path Millimeter Wave Communication System.
IEEE Commun. Lett., 2015

Asymptotic Capacity Analysis for Sparse Multipath Multiple-Input Multiple-Output Channels.
IEEE Commun. Lett., 2015

Yield and Reliability Improvement Techniques for Emerging Nonvolatile STT-MRAM.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2015

Realization of neural coding by stochastic switching of magnetic tunnel junction.
Proceedings of the 15th Non-Volatile Memory Technology Symposium, 2015

Nonvolatile radiation hardened DICE latch.
Proceedings of the 15th Non-Volatile Memory Technology Symposium, 2015

Read disturbance issue for nanoscale STT-MRAM.
Proceedings of the IEEE Non-Volatile Memory System and Applications Symposium, 2015

Channel Modeling and Reliability Enhancement Design Techniques for STT-MRAM.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Energy-efficient neuromorphic computation based on compound spin synapse with stochastic learning.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

The latest assessment for the reprocessed GDR product of HY-2A altimeter.
Proceedings of the 2015 IEEE International Geoscience and Remote Sensing Symposium, 2015

2014
Symbol error rate analysis and power allocation for hybrid cooperation in Rayleigh fading environment.
Int. J. Commun. Syst., 2014

Cyclic delay transmission for unique word OFDM systems.
Sci. China Inf. Sci., 2014

One-step majority-logic-decodable codes enable STT-MRAM for high speed working memories.
Proceedings of the IEEE Non-Volatile Memory Systems and Applications Symposium, 2014

Application-level scheduling with deadline constraints.
Proceedings of the 2014 IEEE Conference on Computer Communications, 2014

Ferroelectric tunnel memristor-based neuromorphic network with 1T1R crossbar architecture.
Proceedings of the 2014 International Joint Conference on Neural Networks, 2014

A preliminary crossover calibration result for HY-2.
Proceedings of the 2014 IEEE Geoscience and Remote Sensing Symposium, 2014

Current status of the HY-2A satellite radar altimeter and its prospect.
Proceedings of the 2014 IEEE Geoscience and Remote Sensing Symposium, 2014

Spintronics for low-power computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

All-Focused Light Field Image Rendering.
Proceedings of the Pattern Recognition - 6th Chinese Conference, 2014

An overview of spin-based integrated circuits.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
On the Asymptotic Spectral Efficiency of Uplink MIMO-CDMA Systems Over Rayleigh Fading Channels With Arbitrary Spatial Correlation.
IEEE Trans. Veh. Technol., 2013

Directional Cooperative MAC Protocol Design and Performance Analysis for IEEE 802.11ad WLANs.
IEEE Trans. Veh. Technol., 2013

FASA: Accelerated S-ALOHA Using Access History for Event-Driven M2M Communications.
IEEE/ACM Trans. Netw., 2013

A low-cost built-in error correction circuit design for STT-MRAM reliability improvement.
Microelectron. Reliab., 2013

A Robust Algorithm for Multiple Disjoint Moving Sources Localization with Erroneous Sensor Locations.
J. Commun., 2013

Capacity of generalised network multiple-input-multiple-output systems with multicell cooperation.
IET Commun., 2013

Low noise amplifier with active feedback structure for implantable neural recording.
IEICE Electron. Express, 2013

Comparative study of various multiuser detection and base-station cooperation schemes for uplink multicell systems.
EURASIP J. Wirel. Commun. Netw., 2013

Laxity-based opportunistic scheduling with flow-level dynamics and deadlines.
Proceedings of the 2013 IEEE Wireless Communications and Networking Conference (WCNC), 2013

Diversity analysis for space-time-frequency (STF) coded MIMO system with a general correlation model.
Proceedings of the 2013 IEEE Wireless Communications and Networking Conference (WCNC), 2013

Decision-Feedback Multiuser Detection in Multicell Multicarrier DS-CDMA Systems with/without BS Cooperation.
Proceedings of the 77th IEEE Vehicular Technology Conference, 2013

2012
Redundant Residue Number System Assisted Multicarrier Direct-Sequence Code-Division Dynamic Multiple Access for Cognitive Radios.
IEEE Trans. Veh. Technol., 2012

The HY-2 satellite and its preliminary assessment.
Int. J. Digit. Earth, 2012

Fast Adaptive S-ALOHA Scheme for Event-driven M2M Communications (Journal version)
CoRR, 2012

Fast Adaptive S-ALOHA Scheme for Event-Driven Machine-to-Machine Communications.
Proceedings of the 76th IEEE Vehicular Technology Conference, 2012

A study on wind vector retrieval algorithm for rotating fan-beam scatterometer.
Proceedings of the 2012 IEEE International Geoscience and Remote Sensing Symposium, 2012

Spectral-Efficiency Comparison of Multicell DS-CDMA/SDMA Systems with/without Base-Station Cooperation.
Proceedings of the 2012 International Conference on Connected Vehicles and Expo, 2012

Performance of Multicell Multicarrier DS-CDMA System with Base-Station Cooperation.
Proceedings of the 2012 International Conference on Connected Vehicles and Expo, 2012

Improving flash memory reliability with dynamic thresholds: Signal processing and coding schemes.
Proceedings of the 7th International Conference on Communications and Networking in China, 2012

2011
Time - frequency iterative multiuser detection in time - frequency-domain spread multicarrier DS-CDMA systems over Nakagami-<i>m</i> fading channels.
Eur. Trans. Telecommun., 2011

Redundant Residue Number System Based Multicarrier DS-CDMA for Dynamic Multiple-Access in Cognitive Radios.
Proceedings of the 73rd IEEE Vehicular Technology Conference, 2011

Asymptotic Spectral-Efficiency of MIMO-CDMA Systems with Arbitrary Spatial Correlation.
Proceedings of the Global Communications Conference, 2011

2010
Micropower fully integrated CMOS readout interface for neural recording application.
Microelectron. Reliab., 2010

A fully integrated CMOS voltage regulator for supply-noise-insensitive charge pump PLL design.
Microelectron. J., 2010

Asymptotic Performance Analysis of Time-Frequency-Domain Spread MC DS-CDMA Systems Employing MMSE Multiuser Detection.
Proceedings of the 71st IEEE Vehicular Technology Conference, 2010

Fast frequency-hopping dynamic multiple-access for cognitive radios: Noncoherent interference cancellation.
Proceedings of the 2010 7th International Symposium on Wireless Communication Systems, 2010

Fast frequency-hopping dynamic multiple-access for cognitive radios: Suboptimum noncoherent maximum-likelihood multiuser detection.
Proceedings of the 2010 7th International Symposium on Wireless Communication Systems, 2010

2008
Spectral-Efficiency of Time-Frequency-Domain Spread Multicarrier DS-CDMA in Frequency-Selective Nakagami-m Fading Channels.
Proceedings of the 68th IEEE Vehicular Technology Conference, 2008


  Loading...