Damien Querlioz

Orcid: 0000-0002-0295-1008

According to our database1, Damien Querlioz authored at least 101 papers between 2011 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Unsupervised End-to-End Training with a Self-Defined Bio-Inspired Target.
CoRR, 2024

2023
Bayesian Metaplasticity from Synaptic Uncertainty.
CoRR, 2023

Powering AI at the Edge: A Robust, Memristor-based Binarized Neural Network with Near-Memory Computing and Miniaturized Solar Cell.
CoRR, 2023

Energy-Efficient Bayesian Inference Using Near-Memory Computation with Memristors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Binary ReRAM-based BNN first-layer implementation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

A Multimode Hybrid Memristor-CMOS Prototyping Platform Supporting Digital and Analog Projects.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

Synaptic metaplasticity with multi-level memristive devices.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
Characterization and modeling of spiking and bursting in experimental NbO<sub> x </sub> neuron.
Neuromorph. Comput. Eng., December, 2022

Multilayer spintronic neural networks with radio-frequency connections.
CoRR, 2022

Characterization and modeling of spiking and bursting in experimental NbOx neuron.
CoRR, 2022

Voltage-Dependent Synaptic Plasticity (VDSP): Unsupervised probabilistic Hebbian plasticity rule based on neurons membrane potential.
CoRR, 2022

Experimental Demonstration of Multilevel Resistive Random Access Memory Programming for up to Two Months Stable Neural Networks Inference Accuracy.
Adv. Intell. Syst., 2022

Energy Efficient Learning With Low Resolution Stochastic Domain Wall Synapse for Deep Neural Networks.
IEEE Access, 2022

Experimental demonstration of Single-Level and Multi-Level-Cell RRAM-based In-Memory Computing with up to 16 parallel operations.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

2021
Reservoir Computing Leveraging the Transient Non-linear Dynamics of Spin-Torque Nano-Oscillators.
Proceedings of the Reservoir Computing, 2021

Implementation of Ternary Weights With Resistive RAM Using a Single Sense Operation Per Synapse.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

A Memristor-Based Bayesian Machine.
CoRR, 2021

Spintronic memristors for computing.
CoRR, 2021

Energy Efficient Learning with Low Resolution Stochastic Domain Wall Synapse Based Deep Neural Networks.
CoRR, 2021

Forecasting the outcome of spintronic experiments with Neural Ordinary Differential Equations.
CoRR, 2021

Model of the Weak Reset Process in HfOx Resistive Memory for Deep Learning Frameworks.
CoRR, 2021

Synaptic metaplasticity in binarized neural networks.
CoRR, 2021

Ex Situ Transfer of Bayesian Neural Networks to Resistive Memory-Based Inference Hardware.
Adv. Intell. Syst., 2021

CAPC: A Configurable Analog Pop-Count Circuit for Near-Memory Binary Neural Networks.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

Harnessing intrinsic memristor randomness with Bayesian neural networks.
Proceedings of the International Conference on IC Design and Technology, 2021

Low-Overhead Implementation of Binarized Neural Networks Employing Robust 2T2R Resistive RAM Bridges.
Proceedings of the 51st IEEE European Solid-State Device Research Conference, 2021

Training Dynamical Binary Neural Networks With Equilibrium Propagation.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2021

Memory Efficient Invertible Neural Networks for Class-Incremental Learning.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
EqSpike: Spike-driven Equilibrium Propagation for Neuromorphic Implementations.
CoRR, 2020

Scaling Equilibrium Propagation to Deep ConvNets by Drastically Reducing its Gradient Estimator Bias.
CoRR, 2020

Continual Weight Updates and Convolutional Architectures for Equilibrium Propagation.
CoRR, 2020

Equilibrium Propagation with Continual Weight Updates.
CoRR, 2020

Physics for Neuromorphic Computing.
CoRR, 2020

In-situ learning harnessing intrinsic resistive memory variability through Markov Chain Monte Carlo Sampling.
CoRR, 2020

Embracing the Unreliability of Memory Devices for Neuromorphic Computing.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

OvA-INN: Continual Learning with Invertible Neural Networks.
Proceedings of the 2020 International Joint Conference on Neural Networks, 2020

In-Memory Resistive RAM Implementation of Binarized Neural Networks for Medical Applications.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Low Power In-Memory Implementation of Ternary Neural Networks with Resistive RAM-Based Synapse.
Proceedings of the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020

2019
Digital Biologically Plausible Implementation of Binarized Neural Networks with Differential Hafnium Oxide Resistive Memory Arrays.
CoRR, 2019

Role of non-linear data processing on speech recognition task in the framework of reservoir computing.
CoRR, 2019

Microwave neural processing and broadcasting with spintronic nano-oscillators.
CoRR, 2019

Neuromorphic Computing through Time-Multiplexing with a Spin-Torque Nano-Oscillator.
CoRR, 2019

In-Memory and Error-Immune Differential RRAM Implementation of Binarized Deep Neural Networks.
CoRR, 2019

Epileptic Seizure Forecasting With Generative Adversarial Networks.
IEEE Access, 2019

Stochastic Computing for Hardware Implementation of Binarized Neural Networks.
IEEE Access, 2019

Contrasting Advantages of Learning With Random Weights and Backpropagation in Non-Volatile Memory Neural Networks.
IEEE Access, 2019

Updates of Equilibrium Prop Match Gradients of Backprop Through Time in an RNN with Static Input.
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019

Implementing Binarized Neural Networks with Magnetoresistive RAM without Error Correction.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

Memory-Centric Neuromorphic Computing With Nanodevices.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

Outstanding Bit Error Tolerance of Resistive RAM-Based Binarized Neural Networks.
Proceedings of the IEEE International Conference on Artificial Intelligence Circuits and Systems, 2019

2018
Vowel recognition with four coupled spin-torque nano-oscillators.
Nat., 2018

Overcoming device unreliability with continuous learning in a population coding based computing system.
CoRR, 2018

Resonate and Fire Neuron with Fixed Magnetic Skyrmions.
CoRR, 2018

Circuit-Level Evaluation of the Generation of Truly Random Bits with Superparamagnetic Tunnel Junctions.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Metal Oxide Resistive Memory (OxRAM) and Phase Change Memory (PCM) as Artificial Synapses in Spiking Neural Networks.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Use of Magnetoresistive Random-Access Memory as Approximate Memory for Training Neural Networks.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

2017
Approximation enhancement for stochastic Bayesian inference.
Int. J. Approx. Reason., 2017

A Neural Network Based on Synchronized Pairs of Nano-Oscillators.
CoRR, 2017

Neuromorphic computing with nanoscale spintronic oscillators.
CoRR, 2017

Spatio-temporal learning with arrays of analog nanosynapses.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2017

On the Physical Underpinnings of the Unusual Effectiveness of Probabilistic and Neural Computation.
Proceedings of the IEEE International Conference on Rebooting Computing, 2017

Implications of the Use of Magnetic Tunnel Junctions as Synapses in Neuromorphic Systems.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Spintronic nanoscillators for unconventional circuits.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

2016
Bayesian Inference With Muller C-Elements.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Spintronic Nanodevices for Bioinspired Computing.
Proc. IEEE, 2016

Bio-inspired intelligent sensory processing with nanoscale stochastic magnetic tunnel junctions.
CoRR, 2016

Spintronic nano-devices for bio-inspired computing.
CoRR, 2016

Exploiting the Short-term to Long-term Plasticity Transition in Memristive Nanodevice Learning Architectures.
CoRR, 2016

Sleep stage classification with stochastic Bayesian inference.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

Synchronization detection in networks of coupled oscillators for pattern recognition.
Proceedings of the 2016 International Joint Conference on Neural Networks, 2016

Exploiting the short-term to long-term plasticity transition in memristive nanodevice learning architectures.
Proceedings of the 2016 International Joint Conference on Neural Networks, 2016

A recurrent crossbar of memristive nanodevices implements online novelty detection.
Proceedings of the IEEE International Conference on Rebooting Computing, 2016

2015
Spin-Transfer Torque Magnetic Memory as a Stochastic Memristive Synapse for Neuromorphic Systems.
IEEE Trans. Biomed. Circuits Syst., 2015

Bioinspired Programming of Memory Devices for Implementing an Inference Engine.
Proc. IEEE, 2015

On-Chip Universal Supervised Learning Methods for Neuro-Inspired Block of Memristive Nanodevices.
ACM J. Emerg. Technol. Comput. Syst., 2015

Neuromorphic hybrid RRAM-CMOS RBM architecture.
Proceedings of the 15th Non-Volatile Memory Technology Symposium, 2015

Magnetoresistance implications for complementary magnetic tunnel junction logic (CMAT).
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, 2015

Supervised learning with organic memristor devices and prospects for neural crossbar arrays.
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, 2015

Vortex-based spin transfer oscillator compact model for IC design.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Spintronic devices as key elements for energy-efficient neuroinspired architectures.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Synchronous Non-Volatile Logic Gate Design Based on Resistive Switching Memories.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Design and analysis of crossbar architecture based on complementary resistive switching non-volatile memory cells.
J. Parallel Distributed Comput., 2014

Robust learning approach for neuro-inspired nanoscale crossbar architecture.
ACM J. Emerg. Technol. Comput. Syst., 2014

Monte Carlo simulations of carbon nanotube networks for optoelectronic applications.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2014

Spin-transfer torque magnetic memory as a stochastic memristive synapse.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Spintronics for low-power computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Spin-electronics based logic fabrics.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

Synchronous full-adder based on complementary resistive switching memory cells.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Impact of PCM resistance-drift in neuromorphic systems and drift-mitigation strategy.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2013

Analytical study of complementary memristive synchronous logic gates.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2013

Stochastic neuron design using conductive bridge RAM.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2013

Design exploration methodology for memristor-based spiking neuromorphic architectures with the Xnet event-driven simulator.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2013

Stochastic resonance in an analog current-mode neuromorphic circuit.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

2012
Extraction of temporally correlated features from dynamic vision sensors with spike-timing-dependent plasticity.
Neural Networks, 2012

Crossbar architecture based on 2R complementary resistive switching memory cell.
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012

Bioinspired networks with nanoscale memristive devices that combine the unsupervised and supervised learning approaches.
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012

Nanodevice-based novel computing paradigms and the neuromorphic approach.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

2011
Learning with memristive devices: How should we model their behavior?
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, 2011

Robust neural logic block (NLB) based on memristor crossbar array.
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, 2011

Simulation of a memristor-based spiking neural network immune to device variations.
Proceedings of the 2011 International Joint Conference on Neural Networks, 2011

Unsupervised features extraction from asynchronous silicon retina through Spike-Timing-Dependent Plasticity.
Proceedings of the 2011 International Joint Conference on Neural Networks, 2011


  Loading...