Debjit Sinha

According to our database1, Debjit Sinha authored at least 31 papers between 2004 and 2021.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
Technology Lookup Table based Default Timing Assertions for Hierarchical Timing Closure.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Statistical Timing Analysis considering Multiple-Input Switching.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2016
Sharing and Re-use of Statistical Timing Macro-Models across Multiple Voltage Domains.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

Generation and use of statistical timing macro-models considering slew and load variability.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Practical statistical static timing analysis with current source models.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Statistical path tracing in timing graphs.
Proceedings of the 53rd Annual Design Automation Conference, 2016

A distributed timing analysis framework for large designs.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2014
TAU 2014 contest on removing common path pessimism during timing analysis.
Proceedings of the International Symposium on Physical Design, 2014

2013
TAU 2013 variation aware timing analysis contest.
Proceedings of the International Symposium on Physical Design, 2013

Speeding up computation of the max/min of a set of gaussians for statistical timing analysis and optimization.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Timing analysis with nonseparable statistical and deterministic variations.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Reversible statistical <i>max/min</i> operation: concept and applications to timing.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2009
A Timing-Dependent Power Estimation Framework Considering Coupling.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Feasible Aggressor-Set Identification Under Constraints for Maximum Coupling Noise.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

2008
Constrained aggressor set selection for maximum coupling noise.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Driver waveform computation for timing analysis with multiple voltage threshold driver models.
Proceedings of the 45th Design Automation Conference, 2008

2007
Advances in Computation of the Maximum of a Set of Gaussian Random Variables.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Low-Power Optimization by Smart Bit-Width Allocation in a SystemC-Based ASIC Design Environment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

2006
Statistical Timing Yield Optimization by Gate Sizing.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Statistical Timing Analysis With Coupling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Gate-size optimization under timing constraints for coupling-noise reduction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Yield-Aware Cache Architectures.
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 2006

Advances in Computation of the Maximum of a Set of Random Variables.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

Smart bit-width allocation for low power optimization in a systemc based ASIC design environment.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2005
A unified framework for statistical timing analysis with coupling and multiple input switching.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

Statistical gate sizing for timing yield optimization.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

Yield driven gate sizing for coupling-noise reduction under uncertainty.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Optimal gate sizing for coupling-noise reduction.
Proceedings of the 2004 International Symposium on Physical Design, 2004

Gate sizing for crosstalk reduction under timing constraints by Lagrangian relaxation.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

An algorithm for trading off quantization error with hardware resources for MATLAB based FPGA design.
Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, 2004


  Loading...