Jean-Luc Dekeyser

Affiliations:
  • LIFL, Lille, France


According to our database1, Jean-Luc Dekeyser authored at least 138 papers between 1990 and 2019.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2019
SCAC: Weakly-coupled execution model for massively parallel systems.
Microprocess. Microsystems, 2019

ViPar: High-Level Design Space Exploration for Parallel Video Processing Architectures.
Int. J. Reconfigurable Comput., 2019

2018
FPGA-Centric Design Process for Avionic Simulation and Test.
IEEE Trans. Aerosp. Electron. Syst., 2018

A modeling front-end for seamless design and generation of context-aware Dynamically Reconfigurable Systems-on-Chip.
J. Parallel Distributed Comput., 2018

2017
Exploring HLS Optimizations for Efficient Stereo Matching Hardware Implementation.
Proceedings of the Applied Reconfigurable Computing - 13th International Symposium, 2017

2016
SCAC-Net: Reconfigurable Interconnection Network in SCAC Massively Parallel SoC.
Proceedings of the 24th Euromicro International Conference on Parallel, 2016

AFFORDe: Automatic Allocation and Floorplanning for SPMD Architecture.
Proceedings of the 10th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2016

2015
Towards an automation of the mutation analysis dedicated to model transformation.
Softw. Test. Verification Reliab., 2015

FPGA-based many-core System-on-Chip design.
Microprocess. Microsystems, 2015

Adopting new learning strategies for computer architecture in higher education: case study: building the S3 microprocessor in 24 hours.
Proceedings of the Workshop on Computer Architecture Education, 2015

Using hardware parallelism for reducing power consumption in video streaming applications.
Proceedings of the 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, 2015

A Parallel And Scalable Multi-FPGA based Architecture for High Performance Applications (Abstract Only).
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

Massively Parallel Dynamically Reconfigurable Multi-FPGA Computing System.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

2014
Adaptivity in high-performance embedded systems: a reactive control model for reliable and flexible design.
Knowl. Eng. Rev., 2014

Communication-centric design for FMC based I/O system.
Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, 2014

A generic pixel distribution architecture for parallel video processing.
Proceedings of the 2014 International Conference on ReConFigurable Computing and FPGAs, 2014

Redefining the role of FPGAs in the next generation avionic systems (abstract only).
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014

Model-driven design flow for distributed control in reconfigurable FPGA systems.
Proceedings of the 2014 Conference on Design and Architectures for Signal and Image Processing, 2014

2013
Decentralized control for dynamically reconfigurable FPGA systems.
Microprocess. Microsystems, 2013

A low-power oriented architecture for H.264 variable block size motion estimation based on a resource sharing scheme.
Integr., 2013

An MDE Approach for Automatic Code Generation from UML/MARTE to OpenCL.
Comput. Sci. Eng., 2013

Master-Slave Control Structure for Massively Parallel System on Chip.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

2012
A fast MPSoC virtual prototyping for intensive signal processing applications.
Microprocess. Microsystems, 2012

Expressing embedded systems configurations at high abstraction levels with UML MARTE profile: Advantages, limitations and alternatives.
J. Syst. Archit., 2012

Abstract Clock-Based Design of a JPEG Encoder.
IEEE Embed. Syst. Lett., 2012

System level modeling methodology of NoC design from UML-MARTE to VHDL.
Des. Autom. Embed. Syst., 2012

Enabling partially reconfigurable IP cores parameterisation and integration using MARTE and IP-XACT.
Proceedings of the 23rd IEEE International Symposium on Rapid System Prototyping, 2012

Membrane-based design and management methodology for parallel dynamically reconfigurable embedded systems.
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012

Distributed control for reconfigurable FPGA systems: A high-level design approach.
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012

Dynamic reconfiguration of modular I/O IP cores for avionic applications.
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2012

Facilitating IP deployment in a MARTE-based MDE methodology using IP-XACT: A Xilinx EDK case study.
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2012

Broadcast with mask on a massively parallel processing on a chip.
Proceedings of the 2012 International Conference on High Performance Computing & Simulation, 2012

An efficient power estimation methodology for complex RISC processor-based platforms.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

Semi-distributed Control for FPGA-based Reconfigurable Systems.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

2011
Modélisation UML/MARTE de SoC et analyse temporelle basée sur l'approche synchrone. Vers l'exploration à haut niveau de l'architecture.
Tech. Sci. Informatiques, 2011

A Model-Driven Design Framework for Massively Parallel Embedded Systems.
ACM Trans. Embed. Comput. Syst., 2011

A multi-level design methodology of multistage interconnection network for MPSOCs.
Int. J. Comput. Appl. Technol., 2011

A Model-Driven Approach for Hybrid Power Estimation in Embedded Systems Design.
EURASIP J. Embed. Syst., 2011

Using an Alternative Trace for QVT.
Electron. Commun. Eur. Assoc. Softw. Sci. Technol., 2011

Automatic Multi-GPU Code Generation applied to Simulation of Electrical Machines
CoRR, 2011

A Modeling Approach based on UML/MARTE for GPU Architecture
CoRR, 2011

Programming Massively Parallel Architectures using MARTE: a Case Study
CoRR, 2011

A model-driven based framework for rapid parallel SoC FPGA prototyping.
Proceedings of the 22nd IEEE International Symposium on Rapid System Prototyping, 2011

A prototyping environment for high performance reconfigurable computing.
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011

A system level power consumption estimation for MPSoC.
Proceedings of the 2011 International Symposium on System on Chip, 2011

Hybrid system level power consumption estimation for FPGA-based MPSoC.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

Fast and accurate hybrid power estimation methodology for embedded systems.
Proceedings of the 2011 Conference on Design and Architectures for Signal and Image Processing, 2011

FeRoNoC: Flexible and extensible Router implementation for diagonal mesh topology.
Proceedings of the 2011 Conference on Design and Architectures for Signal and Image Processing, 2011

High level design of adaptive distributed controller for partial dynamic reconfiguration in FPGA.
Proceedings of the 2011 Conference on Design and Architectures for Signal and Image Processing, 2011

Toward generic and adaptive avionic test systems.
Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, 2011

2010
Scalable mpNoC for massively parallel systems - Design and implementation on FPGA.
J. Syst. Archit., 2010

Targeting reconfigurable FPGA based SoCs using the UML MARTE profile: from high abstraction levels to code generation.
Int. J. Embed. Syst., 2010

Parallel Sparse Matrix Solver on the GPU Applied to Simulation of Electrical Machines
CoRR, 2010

Traceability for Mutation Analysis in Model Transformation.
Proceedings of the Models in Software Engineering, 2010

A Low power and highly parallel implementation of the H.264 8 × 8 transform and quantization.
Proceedings of the IEEE International Symposium on Signal Processing and Information Technology, 2010

Correct and energy-efficient design of SoCs: The H.264 encoder case study.
Proceedings of the 2010 International Symposium on System on Chip, SoC 2010, Tampere, 2010

IP Based Configurable SIMD Massively Parallel SoC.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

Modeling Reconfigurable Systems-on-Chips with UML MARTE Profile: An Exploratory Analysis.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

Designing dynamically reconfigurable SoCs: From UML MARTE models to automatic code generation.
Proceedings of the 2010 Conference on Design & Architectures for Signal & Image Processing, 2010

Reconfigurable Communication Networks in a Parametric SIMD Parallel System on Chip.
Proceedings of the Reconfigurable Computing: Architectures, 2010

2009
Model-Driven Engineering and Formal Validation of High-Performance Embedded Systems.
Scalable Comput. Pract. Exp., 2009

High level modeling of Dynamic Reconfigurable FPGAs.
Int. J. Reconfigurable Comput., 2009

Using Trace to Situate Errors in Model Transformations.
Proceedings of the Software and Data Technologies - 4th International Conference, 2009

Traceability Mechanism for Error Localization in Model Transformation.
Proceedings of the ICSOFT 2009, 2009

A multi level functional verification of multistage interconnection network for MPSOC.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

A Dynamic Hybrid Cache Coherency Protocol for Shared-Memory MPSoC.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

Model-Driven Design of Embedded Multimedia Applications on SoCs.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

Study and integration of a parametric neighbouring interconnection network in a massively parallel architecture on FPGA.
Proceedings of the 7th IEEE/ACS International Conference on Computer Systems and Applications, 2009

2008
Safe design of high-performance embedded systems in an MDE framework.
Innov. Syst. Softw. Eng., 2008

Synchronous Modeling and Analysis of Data Intensive Applications.
EURASIP J. Embed. Syst., 2008

A Graphical Framework for High Performance Computing Using An MDE Approach.
Proceedings of the 16th Euromicro International Conference on Parallel, 2008

Modeling and Formal Validation of High-Performance Embedded Systems.
Proceedings of the 7th International Symposium on Parallel and Distributed Computing (ISPDC 2008), 2008

Using an MDE Approach for Modeling of Interconnection Networks.
Proceedings of the 9th International Symposium on Parallel Architectures, 2008

Unifying HW Analysis and SoC Design Flows by Bridging Two Key Standards: UML and IP-XACT.
Proceedings of the Distributed Embedded Systems: Design, 2008

MARTE-based Design of a Multimedia Application and Formal Analysis.
Proceedings of the Forum on specification and Design Languages, 2008

MARTE based modeling approach for Partial Dynamic Reconfigurable FPGAs.
Proceedings of the 6th IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia, 2008

An MPSoC architecture for the Multiple Target Tracking application in driver assistant system.
Proceedings of the 19th IEEE International Conference on Application-Specific Systems, 2008

2007
Multilevel MPSOC simulation using an MDE approach.
Proceedings of the 2007 IEEE International SOC Conference, 2007

An Open Framework for Detailed Hardware Modeling.
Proceedings of the IEEE Second International Symposium on Industrial Embedded Systems, 2007

An MPSoC Performance Estimation Framework Using Transaction Level Modeling.
Proceedings of the 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 2007

Multiple Abstraction Views of FPGA to Map Parallel Applications.
Proceedings of the 3rd International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2007

Model Transformations for the Compilation of Multi-processor Systems-on-Chip.
Proceedings of the Generative and Transformational Techniques in Software Engineering II, 2007

A Design Flow to Map Parallel Applications onto FPGAs.
Proceedings of the FPL 2007, 2007

Model Transformations from a Data Parallel Formalism towards Synchronous Languages.
Proceedings of the Forum on specification and Design Languages, 2007

MARTE: UML-based Hardware Design from Modelling to Simulation.
Proceedings of the Forum on specification and Design Languages, 2007

Massively parallel processing on a chip.
Proceedings of the 4th Conference on Computing Frontiers, 2007

2006
A universal performance factor for multi-criteria evaluation of multistage interconnection networks.
Future Gener. Comput. Syst., 2006

Safe Design Methodology for an Intelligent Cruise Control System with GPS.
Proceedings of the 64th IEEE Vehicular Technology Conference, 2006

Multilevel MPSoC Performance Evaluation Using MDE Approach.
Proceedings of the International Symposium on System-on-Chip, 2006

Real-time systems for multiprocessor architectures.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

UML2 Profile for Modeling Controlled Data Parallel Applications.
Proceedings of the Forum on specification and Design Languages, 2006

FPGA Implementation of Embedded Cruise Control and Anti-Collision Radar.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006

Estimating Energy Consumption for an MPSoC Architectural Exploration.
Proceedings of the Architecture of Computing Systems, 2006

2005
Towards UML 2 Extensions for Compact Modeling of Regular Complex Topologies.
Proceedings of the Model Driven Engineering Languages and Systems, 2005

Model Driven Engineering for Regular MPSoC Co-design.
Proceedings of the 1st International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2005

Asymmetric Scheduling and Load Balancing for Real-Time on Linux SMP.
Proceedings of the Parallel Processing and Applied Mathematics, 2005

Scalable Multistage Network for Multiprocessor System-on-Chip Design.
Proceedings of the 8th International Symposium on Parallel Architectures, 2005

Mode-Automata Based Methodology for Scade.
Proceedings of the Hybrid Systems: Computation and Control, 8th International Workshop, 2005

Embed Scripting inside SystemC.
Proceedings of the Forum on specification and Design Languages, 2005

Traceability and Interoperability in Models Transformations.
Proceedings of the Forum on specification and Design Languages, 2005

2004
The Effect of the Degree of Multistage Interconnection Networks on their Performance: The Case of Delta and Over-Sized Delta Networks.
Proceedings of the 12th Euromicro Workshop on Parallel, 2004

An Optimal Charge Balancing Model for Fast Distributed SystemC Simulation in IP/SoC Design.
Proceedings of the 4th IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'04), 2004

SoCP2P: A Peer-to-Peer IPS Based SoC Design and Simulation Tool.
Proceedings of the Virtual Enterprises and Collaborative Networks, IFIP 18th World Computer Congress, TC5 / WG5.5, 2004

UML2 as an ADL Hierarchichal Hardware Modeling.
Proceedings of the Architecture Description Languages, 2004

An automatic communication synthesis for high level SOC desing using transaction level modelling (poster).
Proceedings of the Forum on specification and Design Languages, 2004

MDA Based, SystemC Code Generation, Applied to Intensive Signal Processing Applications.
Proceedings of the Forum on specification and Design Languages, 2004

Regular Hardware Architecture Modeling with UML2.
Proceedings of the Forum on specification and Design Languages, 2004

Metamodels and MDA Transformations for Embedded Systems.
Proceedings of the Forum on specification and Design Languages, 2004

2003
Distributed Process Networks - Using Half FIFO Queues in CORBA.
Proceedings of the Parallel Computing: Software Technology, 2003

A Study of an Evaluation Methodology for Unbuffered Multistage Interconnection Networks.
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003

Interoperability between Design and Simulation Tools using Model Transformation Techniques.
Proceedings of the Forum on specification and Design Languages, 2003

MDA for SoC Design, Intensive Signal Processing Experiment.
Proceedings of the Forum on specification and Design Languages, 2003

An Interconnection Networks Comparative Performance Evaluation Methodology: Delta and Over-Sized Delta Networks.
Proceedings of the ISCA 16th International Conference on Parallel and Distributed Computing Systems, 2003

2002
Towards Distributed Process Networks with CORBA.
Scalable Comput. Pract. Exp., 2002

GASPARD - A Visual Parallel Programming Environment.
Proceedings of the 2002 International Conference on Parallel Computing in Electrical Engineering (PARELEC 2002), 2002

2001
Visual Data-Parallel Programming for Signal Processing Applications.
Proceedings of the Ninth Euromicro Workshop on Parallel and Distributed Processing, 2001

Compilation Principle of a Specification Language Dedicated to Signal Processing.
Proceedings of the Parallel Computing Technologies, 2001

2000
High Level Parallelization of a 3D Electromagnetic Simulation Code with Irregular Communication Patterns.
Proceedings of the Vector and Parallel Processing, 2000

Parallelization of a 3D Magnetostatic Code Using High Performance Fortran.
Proceedings of the 2000 International Conference on Parallel Computing in Electrical Engineering (PARELEC 2000), 2000

1998
Data-Parallel Load Balancing Strategies.
Parallel Comput., 1998

DPFS: A Data-Parallel File System Environment.
Proceedings of the High-Performance Computing and Networking, 1998

Implementation of a Bi-Parallel Monte Carlo Device Simulation on Two Architectures.
Proceedings of the High-Performance Computing and Networking, 1998

1997
Analysis and Simulation of an Out-Of-Order Execution Model in Vector Multiprocessor Systems.
Parallel Comput., 1997

Hpf-Builder: a Visual Environment To Transform Fortran 90 Codes To Hpf.
Int. J. High Perform. Comput. Appl., 1997

Data Parallel File System.
Proceedings of the Eighth SIAM Conference on Parallel Processing for Scientific Computing, 1997

Step By Step Transformation of a Fortran 90 Program in HPF, using HPF-Builder.
Proceedings of the Eighth SIAM Conference on Parallel Processing for Scientific Computing, 1997

1996
Irregular Data-Parallel Objects in C++.
Proceedings of the Vector and Parallel Processing, 1996

Mixed synchronous-asynchronous approach for real-time image processing: a MPEG-like coder.
Proceedings of the Proceedings 1996 International Conference on Image Processing, 1996

Dynamic Redistribution on Heterogeneous Parallel Computers.
Proceedings of the Euro-Par '96 Parallel Processing, 1996

Supporting Irregular and Dynamic Computations in Data Parallel Languages.
Proceedings of the Data Parallel Programming Model: Foundations, 1996

1995
Analysis of Synchronous Dynamic Load Balancing Algorithms.
Proceedings of the Parallel Computing: State-of-the-Art and Perspectives, 1995

1994
A Geometrical Data-Parallel Language.
ACM SIGPLAN Notices, 1994

A Data-Parallel View of the Load Balancing - Experimental Results on MasPar MP-1.
Proceedings of the High-Performance Computing and Networking, 1994

Dynamic Load Balancing on SIMD Data-Parallel Computers.
Proceedings of the Massively Parallel Processing Applications and Develompent, 1994

1993
HelpDraw Graphical Environment: A Step Beyond Data Parallel Programming Languages.
Proceedings of the Human-Computer Interaction: Software and Hardware Interfaces, 1993

1992
Performance improvement for vector pipeline multiprocessor systems using a disordered execution model.
Proceedings of the 19th Annual International Symposium on Computer Architecture. Gold Coast, 1992

1990
EVA: an explicit vector language.
ACM SIGPLAN Notices, 1990

Vector addressing processor for direct and indirect accesses.
Microprocessing and Microprogramming, 1990


  Loading...