Ozgur Sinanoglu

Orcid: 0000-0003-0782-0397

According to our database1, Ozgur Sinanoglu authored at least 253 papers between 2001 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Progressive Learning With Recurrent Neural Network for Sequence Classification.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

NiLoPher: Breaking a Modern SAT-Hardened Logic-Locking Scheme via Power Analysis Attack.
IACR Cryptol. ePrint Arch., 2024

Always be Pre-Training: Representation Learning for Network Intrusion Detection with GNNs.
CoRR, 2024

Lightweight Masking Against Static Power Side-Channel Attacks.
CoRR, 2024

2023
VIGILANT: Vulnerability Detection Tool Against Fault-Injection Attacks for Locking Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

$\tt{PoisonedGNN}$: Backdoor Attack on Graph Neural Networks-Based Hardware Security Systems.
IEEE Trans. Computers, October, 2023

SCANet: Securing the Weights With Superparamagnetic-MTJ Crossbar Array Networks.
IEEE Trans. Neural Networks Learn. Syst., September, 2023

RESCUE: Resilient, Scalable, High-Corruption, Compact-Key-Set Locking Framework.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., September, 2023

Titan: Security Analysis of Large-Scale Hardware Obfuscation Using Graph Neural Networks.
IEEE Trans. Inf. Forensics Secur., 2023

FerroCoin: Ferroelectric Tunnel Junction-Based True Random Number Generator.
IEEE Trans. Emerg. Top. Comput., 2023

Beware Your Standard Cells! On Their Role in Static Power Side-Channel Attacks.
IACR Cryptol. ePrint Arch., 2023

PoisonedGNN: Backdoor Attack on Graph Neural Networks-based Hardware Security Systems.
CoRR, 2023

DNN-Alias: Deep Neural Network Protection Against Side-Channel Attacks via Layer Balancing.
CoRR, 2023

Graph Neural Networks for Hardware Vulnerability Analysis - Can you Trust your GNN?
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

UN-SPLIT: Attacking Split Manufacturing Using Link Prediction in Graph Neural Networks.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2023

Security Closure of IC Layouts Against Hardware Trojans.
Proceedings of the 2023 International Symposium on Physical Design, 2023

X-Volt: Joint Tuning of Driver Strengths and Supply Voltages Against Power Side-Channel Attacks.
Proceedings of the 2023 International Symposium on Physical Design, 2023

Benchmarking Advanced Security Closure of Physical Layouts: ISPD 2023 Contest.
Proceedings of the 2023 International Symposium on Physical Design, 2023

FPGA-Patch: Mitigating Remote Side-Channel Attacks on FPGAs using Dynamic Patch Generation.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

TrojanSAINT: Gate-Level Netlist Sampling-Based Inductive Learning for Hardware Trojan Detection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

ShapeShifter: Protecting FPGAs from Side-Channel Attacks with Isofunctional Heterogeneous Modules.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023

Shaheen: An Open, Secure, and Scalable RV64 SoC for Autonomous Nano-UAVs.
Proceedings of the 35th IEEE Hot Chips Symposium, 2023

AutoLock: Automatic Design of Logic Locking with Evolutionary Computation.
Proceedings of the 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2023

ALMOST: Adversarial Learning to Mitigate Oracle-less ML Attacks via Synthesis Tuning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Graph Neural Networks: A Powerful and Versatile Tool for Advancing Design, Reliability, and Security of ICs.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Hide and Seek: Seeking the (Un)-Hidden Key in Provably-Secure Logic Locking Techniques.
IEEE Trans. Inf. Forensics Secur., 2022

Valkyrie: Vulnerability Assessment Tool and Attack for Provably-Secure Logic Locking Techniques.
IEEE Trans. Inf. Forensics Secur., 2022

Opening the Doors to Dynamic Camouflaging: Harnessing the Power of Polymorphic Devices.
IEEE Trans. Emerg. Top. Comput., 2022

Security Promises and Vulnerabilities in Emerging Reconfigurable Nanotechnology-Based Circuits.
IEEE Trans. Emerg. Top. Comput., 2022

AntiDOTE: Protecting Debug Against Outsourced Test Entities.
IEEE Trans. Emerg. Top. Comput., 2022

PolyWorm: Leveraging Polymorphic Behavior to Implant Hardware Trojans.
IEEE Trans. Emerg. Top. Comput., 2022

GNNUnlock+: A Systematic Methodology for Designing Graph Neural Networks-Based Oracle-Less Unlocking Schemes for Provably Secure Logic Locking.
IEEE Trans. Emerg. Top. Comput., 2022

OMLA: An Oracle-Less Machine Learning-Based Attack on Logic Locking.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A Novel Attack Mode on Advanced Technology Nodes Exploiting Transistor Self-Heating.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Concerted Wire Lifting: Enabling Secure and Cost-Effective Split Manufacturing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Digitally Assisted Mixed-Signal Circuit Security.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

GNN-RE: Graph Neural Networks for Reverse Engineering of Gate-Level Netlists.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

GNN4REL: Graph Neural Networks for Predicting Circuit Reliability Degradation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Design-time exploration of voltage switching against power analysis attacks in 14 nm FinFET technology.
Integr., 2022

IsoLock: Thwarting Link-Prediction Attacks on Routing Obfuscation by Graph Isomorphism.
IACR Cryptol. ePrint Arch., 2022

SuperVAULT: Superparamagnetic Volatile Auxiliary Tamper-Proof Storage.
IEEE Embed. Syst. Lett., 2022

A New Paradigm in Split Manufacturing: Lock the FEOL, Unlock at the BEOL.
Cryptogr., 2022

Hide & Seek: Seeking the (Un)-Hidden key in Provably-Secure Logic Locking Techniques.
CoRR, 2022

Embracing Graph Neural Networks for Hardware Security (Invited Paper).
CoRR, 2022

Hardware Trojan Threats to Cache Coherence in Modern 2.5D Chiplet Systems.
IEEE Comput. Archit. Lett., 2022

SCRAMBLE: A Secure and Configurable, Memristor-Based Neuromorphic Hardware Leveraging 3D Architecture.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Benchmarking Security Closure of Physical Layouts: ISPD 2022 Contest.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

NeuroUnlock: Unlocking the Architecture of Obfuscated Deep Neural Networks.
Proceedings of the International Joint Conference on Neural Networks, 2022

AppGNN: Approximation-Aware Functional Reverse Engineering Using Graph Neural Networks.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Embracing Graph Neural Networks for Hardware Security.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

MuxLink: Circumventing Learning-Resilient MUX-Locking Using Graph Neural Network-based Link Prediction.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
UNSAIL: Thwarting Oracle-Less Machine Learning Attacks on Logic Locking.
IEEE Trans. Inf. Forensics Secur., 2021

Guest Editorial: Special Issue On Emerging Technologies in Computer Design.
IEEE Trans. Emerg. Top. Comput., 2021

A Modern Approach to IP Protection and Trojan Prevention: Split Manufacturing for 3D ICs and Obfuscation of Vertical Interconnects.
IEEE Trans. Emerg. Top. Comput., 2021

ScanSAT: Unlocking Static and Dynamic Scan Obfuscation.
IEEE Trans. Emerg. Top. Comput., 2021

Breaking CAS-Lock and Its Variants by Exploiting Structural Traces.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2021

Thwarting All Logic Locking Attacks: Dishonest Oracle With Truly Random Logic Locking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Deep Learning Analysis for Split-Manufactured Layouts With Routing Perturbation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Interposer-Based Root of Trust.
CoRR, 2021

Toward Security Closure in the Face of Reliability Effects ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Security Closure of Physical Layouts ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

UNTANGLE: Unlocking Routing and Logic Obfuscation Using Graph Neural Networks-based Link Prediction.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Fa-SAT: Fault-aided SAT-based Attack on Compound Logic Locking Techniques.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

GNNUnlock: Graph Neural Networks-based Oracle-less Unlocking Scheme for Provably Secure Logic Locking.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Fortifying RTL Locking Against Oracle-Less (Untrusted Foundry) and Oracle-Guided Attacks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Removal Attacks on Logic Locking and Camouflaging Techniques.
IEEE Trans. Emerg. Top. Comput., 2020

Guest Editors' Introduction: Special Issue on Emerging Technologies in Computer Design.
IEEE Trans. Emerg. Top. Comput., 2020

Truly Stripping Functionality for Logic Locking: A Fault-Based Perspective.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Logic Locking With Provable Security Against Power Analysis Attacks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Spin-Orbit Torque Devices for Hardware Security: From Deterministic to Probabilistic Regime.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Obfuscating the Interconnects: Low-Cost and Resilient Full-Chip Layout Camouflaging.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Keynote: A Disquisition on Logic Locking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2.5D Root of Trust: Secure System-Level Integration of Untrusted Chiplets.
IEEE Trans. Computers, 2020

Power Side-Channel Attacks in Negative Capacitance Transistor.
IEEE Micro, 2020

Power Side-Channel Attacks in Negative Capacitance Transistor (NCFET).
CoRR, 2020

Benchmarking at the Frontier of Hardware Security: Lessons from Logic Locking.
CoRR, 2020

SMART: A Secure Magnetoelectric AntifeRromagnet-Based Tamper-Proof Non-Volatile Memory.
IEEE Access, 2020

Design Obfuscation versus Test.
Proceedings of the IEEE European Test Symposium, 2020

DynUnlock: Unlocking Scan Chains Obfuscated using Dynamic Keys.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Trustworthy Hardware Design: Combinational Logic Locking Techniques
Springer, ISBN: 978-3-030-15333-5, 2020

2019
Stripped Functionality Logic Locking With Hamming Distance-Based Restore Unit (SFLL-hd) - Unlocked.
IEEE Trans. Inf. Forensics Secur., 2019

Guest Editors Introduction: Special Section on Emerging Technologies in Computer Design.
IEEE Trans. Emerg. Top. Comput., 2019

CAS-Unlock: Unlocking CAS-Lock without Access to a Reverse-Engineered Netlist.
IACR Cryptol. ePrint Arch., 2019

ScanSAT: Unlocking Obfuscated Scan Chains.
IACR Cryptol. ePrint Arch., 2019

Spin-Based Reconfigurable Logic for Power- and Area-Efficient Applications.
IEEE Des. Test, 2019

Toward Physically Unclonable Functions from Plasmonics-Enhanced Silicon Disc Resonators.
CoRR, 2019

An Interposer-Based Root of Trust: Seize the Opportunity for Secure System-Level Integration of Untrusted Chiplets.
CoRR, 2019

Mixed-Signal Hardware Security Using MixLock: Demonstration in an Audio Application.
Proceedings of the 16th International Conference on Synthesis, 2019

Logic Locking of Boolean Circuits: Provable Hardware-Based Obfuscation from a Tamper-Proof Memory.
Proceedings of the Innovative Security Solutions for Information Technology and Communications, 2019

3D Integration: Another Dimension Toward Hardware Security.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Is Robust Design-for-Security Robust Enough? Attack on Locked Circuits with Restricted Scan Chain Access.
Proceedings of the International Conference on Computer-Aided Design, 2019

Revisiting Logic Locking for Reversible Computing.
Proceedings of the 24th IEEE European Test Symposium, 2019

A New Paradigm in Split Manufacturing: Lock the FEOL, Unlock at the BEOL.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

MixLock: Securing Mixed-Signal Circuits via Logic Locking.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Attacking Split Manufacturing from a Deep Learning Perspective.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Protect Your Chip Design Intellectual Property: An Overview.
Proceedings of the International Conference on Omni-Layer Intelligent Systems, 2019

2018
ATPG-based cost-effective, secure logic locking.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Towards Provably Secure Logic Locking for Hardening Hardware Security Dissertation Summary: IEEE TTTC E.J. McCluskey Doctoral Thesis Award Competition.
Proceedings of the IEEE International Test Conference, 2018

Customized locking of IP blocks on a multi-million-gate SoC.
Proceedings of the International Conference on Computer-Aided Design, 2018

Best of both worlds: integration of split manufacturing and camouflaging into a security-driven CAD flow for 3D ICs.
Proceedings of the International Conference on Computer-Aided Design, 2018

Towards provably-secure performance locking.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Advancing hardware security using polymorphic and stochastic spin-hall effect devices.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Raise your game for split manufacturing: restoring the true functionality through BEOL.
Proceedings of the 55th Annual Design Automation Conference, 2018

Concerted wire lifting: Enabling secure and cost-effective split manufacturing.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Testing the Trustworthiness of IC Testing: An Oracle-Less Attack on IC Camouflaging.
IEEE Trans. Inf. Forensics Secur., 2017

Timing Attack and Countermeasure on NEMS Relay Based Design of Block Ciphers.
IEEE Trans. Emerg. Top. Comput., 2017

Guest Editors Introduction: Security of Beyond CMOS Devices: Issues and Opportunities.
IEEE Trans. Emerg. Top. Comput., 2017

Ultra-Low Power, Secure IoT Platform for Predicting Cardiovascular Diseases.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Adaptive Reduction of the Frequency Search Space for Multi-V<sub>dd</sub> Digital Circuits Using Variation Sensitive Ring Oscillators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Large-Scale 3D Chips: Challenges and Solutions for Design Automation, Testing, and Trustworthy Integration.
IPSJ Trans. Syst. LSI Des. Methodol., 2017

A Comprehensive Design-for-Test Infrastructure in the Context of Security-Critical Applications.
IEEE Des. Test, 2017

Evolution of logic locking.
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017

Rethinking split manufacturing: An information-theoretic approach with secure layout techniques.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

TTLock: Tenacious and traceless logic locking.
Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust, 2017

What to Lock?: Functional and Parametric Locking.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

On Mitigation of Side-Channel Attacks in 3D ICs: Decorrelating Thermal Patterns from Power and Activity.
Proceedings of the 54th Annual Design Automation Conference, 2017

Provably-Secure Logic Locking: From Theory To Practice.
Proceedings of the 2017 ACM SIGSAC Conference on Computer and Communications Security, 2017

2016
Building Trustworthy Systems Using Untrusted Components: A High-Level Synthesis Approach.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Adapting to Varying Distribution of Unknown Response Bits.
ACM Trans. Design Autom. Electr. Syst., 2016

A Compact Implementation of Salsa20 and Its Power Analysis Vulnerabilities.
ACM Trans. Design Autom. Electr. Syst., 2016

Security Assessment of Cyberphysical Digital Microfluidic Biochips.
IEEE ACM Trans. Comput. Biol. Bioinform., 2016

On Improving the Security of Logic Locking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

A Comparative Security Analysis of Current and Emerging Technologies.
IEEE Micro, 2016

Guest Editorial Special Issue on Secure and Trustworthy Computing.
ACM J. Emerg. Technol. Comput. Syst., 2016

Security Analysis of Anti-SAT.
IACR Cryptol. ePrint Arch., 2016

Supply-Chain Security of Digital Microfluidic Biochips.
Computer, 2016

Thwarting timing attacks on NEMS relay based designs.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

Power-side-channel analysis of carbon nanotube FET based design.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

CamoPerturb: secure IC camouflaging for minterm protection.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

SARLock: SAT attack resistant logic locking.
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016

Do you trust your chip?
Proceedings of the 2016 International Conference on Design and Technology of Integrated Systems in Nanoscale Era, 2016

Activation of logic encrypted chips: Pre-test or post-test?
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Microfluidic encryption of on-chip biochemical assays.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

2015
Adaptive Generation of Unique IDs for Digital Chips through Analog Excitation.
ACM Trans. Design Autom. Electr. Syst., 2015

Belling the CAD: Toward Security-Centric Electronic System Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Guest Editorial Special Section on Hardware Security and Trust.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Security Vulnerabilities of Emerging Nonvolatile Main Memories and Countermeasures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Modeling, Detection, and Diagnosis of Faults in Multilevel Memristor Memories.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Novel Test-Mode-Only Scan Attack and Countermeasure for Compression-Based Scan Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Fault Analysis-Based Logic Encryption.
IEEE Trans. Computers, 2015

MAGIC: Malicious Aging in Circuits/Cores.
ACM Trans. Archit. Code Optim., 2015

TMO: A new class of attack on cipher misusing test infrastructure.
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015

Timing attack on NEMS relay based design of AES.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015

A secure design-for-test infrastructure for lifetime security of SoCs.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Power analysis attacks on ARX: An application to Salsa20.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

Transforming between logic locking and IC camouflaging.
Proceedings of the 10th International Design & Test Symposium, 2015

Security implications of cyberphysical digital microfluidic biochips.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Security analysis of logic encryption against the most effective side-channel attack: DPA.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

Scan attack on Elliptic Curve Cryptosystem.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

2014
Design for Testability Support for Launch and Capture Power Reduction in Launch-Off-Shift and Launch-Off-Capture Testing.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Regaining Trust in VLSI Design: Design-for-Trust Techniques.
Proc. IEEE, 2014

Detection, diagnosis, and repair of faults in memristor-based memories.
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

AES design space exploration new line for scan attack resiliency.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

Test-mode-only scan attack and countermeasure for contemporary scan architectures.
Proceedings of the 2014 International Test Conference, 2014

New scan attacks against state-of-the-art countermeasures and DFT.
Proceedings of the 2014 IEEE International Symposium on Hardware-Oriented Security and Trust, 2014

Test-mode-only scan attack using the boundary scan chain.
Proceedings of the 19th IEEE European Test Symposium, 2014

Slack removal for enhanced reliability and trust.
Proceedings of the 9th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2014

Approximating the age of RF/analog circuits through re-characterization and statistical estimation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Secure Memristor-based Main Memory.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Predictive Techniques for Projecting Test Data Volume Compression.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Scan to Nonscan Conversion via Test Cube Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Testing Chips With Spare Identical Cores.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Eliminating the Timing Penalty of Scan.
J. Electron. Test., 2013

Reconfigurable Concurrent Error Detection Adaptive to Dynamicity of Power Constraints.
J. Electron. Test., 2013

Expedited-compact architecture for average scan power reduction.
IEEE Des. Test, 2013

Sneak-path Testing of Memristor-based Memories.
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013

New Scan-Based Attack Using Only the Test Mode and an Input Corruption Countermeasure.
Proceedings of the VLSI-SoC: At the Crossroads of Emerging Trends, 2013

New scan-based attack using only the test mode.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

Embedded tutorial: Regaining hardware security and trust.
Proceedings of the 14th Latin American Test Workshop, 2013

VLSI testing based security metric for IC camouflaging.
Proceedings of the 2013 IEEE International Test Conference, 2013

High-level synthesis for security and trust.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Scan attack in presence of mode-reset countermeasure.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Sneak path testing and fault modeling for multilevel memristor-based memories.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Reconciling the IC test and security dichotomy.
Proceedings of the 18th IEEE European Test Symposium, 2013

Adaptive reduction of the frequency search space for multi-vdd digital circuits.
Proceedings of the Design, Automation and Test in Europe, 2013

Is split manufacturing secure?
Proceedings of the Design, Automation and Test in Europe, 2013

Security analysis of integrated circuit camouflaging.
Proceedings of the 2013 ACM SIGSAC Conference on Computer and Communications Security, 2013

2012
Multi-modal response compaction adaptive to x-density variation.
IET Comput. Digit. Tech., 2012

Fault Model Independent, Maximal Compaction of Test Responses in the Presence of Unknown Response Bits.
Comput. J., 2012

An Optimal Inherently Stabilizing 2-Neighborhood Crash Resilient Protocol for Secure and Reliable Routing in Hypercube Networks.
Comput. J., 2012

Eliminating Performance Penalty of Scan.
Proceedings of the 25th International Conference on VLSI Design, 2012

Retiming scan circuit to eliminate timing penalty.
Proceedings of the 13th Latin American Test Workshop, 2012

Test access mechanism for chips with spare identical cores.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

Engineering crossbar based emerging memory technologies.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Adaptive testing: Conquering process variations.
Proceedings of the 17th IEEE European Test Symposium, 2012

Adaptive testing of chips with varying distributions of unknown response bits.
Proceedings of the 17th IEEE European Test Symposium, 2012

DfT support for launch and capture power reduction in launch-off-capture testing.
Proceedings of the 17th IEEE European Test Symposium, 2012

Toggle-masking scheme for x-filtering.
Proceedings of the 17th IEEE European Test Symposium, 2012

Logic encryption: A fault analysis perspective.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Security analysis of logic obfuscation.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Unified 2-D X-Alignment for Improving the Observability of Response Compactors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Test Power Reduction via Deterministic Alignment of Stimulus and Response Bits.
J. Low Power Electron., 2011

Novel hazard-free majority voter for n-modular redundancy-based fault tolerance in asynchronous circuits.
IET Comput. Digit. Tech., 2011

Enhancing encoding capacity of combinational test stimulus decompressors.
Sci. China Inf. Sci., 2011

Expedited response compaction for scan power reduction.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Design and analysis of ring oscillator based Design-for-Trust technique.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Revival of partial scan: Test cube analysis driven conversion of flip-flops.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Error-resilient design of branch predictors for effective yield improvement.
Proceedings of the 12th Latin American Test Workshop, 2011

Toggle-Based Masking Scheme for Clustered Unknown Response Bits.
Proceedings of the 16th European Test Symposium, 2011

Rewind-Support for Peak Capture Power Reduction in Launch-Off-Shift Testing.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
An Inherently Stabilizing Algorithm for Node-To-Node Routing over All Shortest Node-Disjoint Paths in Hypercube Networks.
IEEE Trans. Computers, 2010

On the Application of Dynamic Scan Chain Partitioning for Reducing Peak Shift Power.
J. Electron. Test., 2010

Predictive analysis for projecting test compression levels.
Proceedings of the 2011 IEEE International Test Conference, 2010

Identification of IR-drop hot-spots in defective power distribution network using TDF ATPG.
Proceedings of the 5th International Design and Test Workshop, 2010

Reconfigurable low-power Concurrent Error Detection in logic circuits.
Proceedings of the 5th International Design and Test Workshop, 2010

Add-on blocks and algorithms for improving stimulus compression.
Proceedings of the 15th European Test Symposium, 2010

XOR-Based Response Compactor Adaptive to X-Density Variation.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

Pattern Encodability Enhancements for Test Stimulus Decompressors.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
Scan Chain Hold-Time Violations: Can They be Tolerated?
IEEE Trans. Very Large Scale Integr. Syst., 2009

X-Align: Improving the Scan Cell Observability of Response Compactors.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Dynamic Scan Chain Partitioning for Reducing Peak Shift Power During Test.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Align-Encode Delay Assignment in the Case of XOR-Decompressors: Impact of Parallel Computations.
J. Interconnect. Networks, 2009

Scan Cell Positioning for Boosting the Compression of Fan-Out Networks.
J. Comput. Sci. Technol., 2009

Utilisation of inverse compatibility for test cost reductions.
IET Comput. Digit. Tech., 2009

Test Data Volume Comparison: Monolithic vs. Modular SoC Testing.
IEEE Des. Test Comput., 2009

X-alignment techniques for improving the observability of response compactors.
Proceedings of the 2009 IEEE International Test Conference, 2009

Improving the Effectiveness of XOR-based Decompressors through Horizontal/Vertical Move of Stimulus Fragments.
Proceedings of the 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2009

2008
Isolation Techniques for Soft Cores.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Scan Architecture With Align-Encode.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Construction of an adaptive scan network for test time and data volume reduction.
IET Comput. Digit. Tech., 2008

Improving the Effectiveness of Combinational Decompressors Through Judicious Partitioning of Scan Cells.
J. Electron. Test., 2008

Scan-in and Scan-out Transition Co-optimization Through Modelling Generalized Serial Transformations.
J. Electron. Test., 2008

Align-Encode: Improving the Encoding Capability of Test Stimulus Decompressors.
Proceedings of the 2008 IEEE International Test Conference, 2008

Peak Power Reduction Through Dynamic Partitioning of Scan Chains.
Proceedings of the 2008 IEEE International Test Conference, 2008

Analysis of The Test Data Volume Reduction Benefit of Modular SOC Testing.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
Low Cost Scan Test by Test Correlation Utilization.
J. Comput. Sci. Technol., 2007

Diagnosis, modeling and tolerance of scan chain hold-time violations.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

A non-intrusive isolation approach for soft cores.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2005
Test power reductions through computationally efficient, decoupled scan chain modifications.
IEEE Trans. Reliab., 2005

Efficient RT-Level Fault Diagnosis.
J. Comput. Sci. Technol., 2005

2004
Fast and energy-frugal deterministic test through efficient compression and compaction techniques.
J. Syst. Archit., 2004

Autonomous Yet Deterministic Test of SOC Cores.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

Extending the Applicability of Parallel-Serial Scan Designs.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004

Pipelined test of SOC cores through test data transformations.
Proceedings of the 9th European Test Symposium, 2004

Scan Power Minimization through Stimulus and Response Transformations.
Proceedings of the 2004 Design, 2004

Efficient RT-level fault diagnosis methodology.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
Reducing Average and Peak Test Power Through Scan Chain Modification.
J. Electron. Test., 2003

Compacting Test Responses for Deeply Embedded SoC Cores.
IEEE Des. Test Comput., 2003

Modeling Scan Chain Modifications For Scan-in Test Power Minimization.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

Aggressive Test Power Reduction Through Test Stimuli Transformation.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

Partial Core Encryption for Performance-Efficient Test of SOCs.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

Parity-based output compaction for core-based SOCs [logic testing].
Proceedings of the 8th European Test Workshop, 2003

Hierarchical Constraint Conscious RT-level Test Generation.
Proceedings of the 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), 2003

Test Data Manipulation Techniques for Energy-Frugal, Rapid Scan Test.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003

2002
Efficient Construction of Aliasing-Free Compaction Circuitry.
IEEE Micro, 2002

Test Power Reduction through Minimization of Scan Chain Transitions.
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002

Scan Power Reduction Through Test Data Transition Frequency Analysis.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

A novel scan architecture for power-efficient, rapid test.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Dynamic test data transformations for average and peak power reductions.
Proceedings of the 7th European Test Workshop, 2002

Fast and Energy-Frugal Deterministic Test Through Test Vector Correlation Exploitation.
Proceedings of the 17th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2002), 2002

2001
RT-level Fault Simulation Based on Symbolic Propagation.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

Space and time compaction schemes for embedded cores.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

Compaction Schemes with Minimum Test Application Time.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001


  Loading...