Mohammed Ashraf

Orcid: 0000-0002-1256-7229

According to our database1, Mohammed Ashraf authored at least 33 papers between 2006 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
DEFending Integrated Circuit Layouts.
IACR Cryptol. ePrint Arch., 2023

X-Volt: Joint Tuning of Driver Strengths and Supply Voltages Against Power Side-Channel Attacks.
Proceedings of the 2023 International Symposium on Physical Design, 2023

CoFHEE: A Co-processor for Fully Homomorphic Encryption Execution.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
AntiDOTE: Protecting Debug Against Outsourced Test Entities.
IEEE Trans. Emerg. Top. Comput., 2022

Concerted Wire Lifting: Enabling Secure and Cost-Effective Split Manufacturing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Design-time exploration of voltage switching against power analysis attacks in 14 nm FinFET technology.
Integr., 2022

A New Paradigm in Split Manufacturing: Lock the FEOL, Unlock at the BEOL.
Cryptogr., 2022

Computed torque control of a prismatic-input delta parallel robot.
Proceedings of the 4th Novel Intelligent and Leading Emerging Sciences Conference, 2022

SCRAMBLE: A Secure and Configurable, Memristor-Based Neuromorphic Hardware Leveraging 3D Architecture.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Benchmarking Security Closure of Physical Layouts: ISPD 2022 Contest.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

2021
A Modern Approach to IP Protection and Trojan Prevention: Split Manufacturing for 3D ICs and Obfuscation of Vertical Interconnects.
IEEE Trans. Emerg. Top. Comput., 2021

Deep Learning Analysis for Split-Manufactured Layouts With Routing Perturbation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Technical report: CoPHEE: Co-processor forPartially Homomorphic Encrypted Execution.
IACR Cryptol. ePrint Arch., 2021

Toward Security Closure in the Face of Reliability Effects ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Security Closure of Physical Layouts ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

2020
Truly Stripping Functionality for Logic Locking: A Fault-Based Perspective.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Obfuscating the Interconnects: Low-Cost and Resilient Full-Chip Layout Camouflaging.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2.5D Root of Trust: Secure System-Level Integration of Untrusted Chiplets.
IEEE Trans. Computers, 2020

Power Side-Channel Attacks in Negative Capacitance Transistor.
IEEE Micro, 2020

Power Side-Channel Attacks in Negative Capacitance Transistor (NCFET).
CoRR, 2020

2019
CAD-Base: An Attack Vector into the Electronics Supply Chain.
ACM Trans. Design Autom. Electr. Syst., 2019

Power adaptive high-resolution neural data compression algorithm (PANDCA).
Microelectron. J., 2019

An Interposer-Based Root of Trust: Seize the Opportunity for Secure System-Level Integration of Untrusted Chiplets.
CoRR, 2019

CoPHEE: Co-processor for Partially Homomorphic Encrypted Execution.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2019

2018
Customized locking of IP blocks on a multi-million-gate SoC.
Proceedings of the International Conference on Computer-Aided Design, 2018

Best of both worlds: integration of split manufacturing and camouflaging into a security-driven CAD flow for 3D ICs.
Proceedings of the International Conference on Computer-Aided Design, 2018

Raise your game for split manufacturing: restoring the true functionality through BEOL.
Proceedings of the 55th Annual Design Automation Conference, 2018

Concerted wire lifting: Enabling secure and cost-effective split manufacturing.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Rethinking split manufacturing: An information-theoretic approach with secure layout techniques.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

A differential evolution-based approach to design all-terrain ground vehicle wheels.
Proceedings of the 2017 IEEE International Conference on Autonomous Robot Systems and Competitions, 2017

Provably-Secure Logic Locking: From Theory To Practice.
Proceedings of the 2017 ACM SIGSAC Conference on Computer and Communications Security, 2017

2016
A low-power area-efficient design and comparative analysis for high-resolution neural data compression.
Proceedings of the 28th International Conference on Microelectronics, 2016

2006
Research Paper: A Randomized Trial Comparing Telemedicine Case Management with Usual Care in Older, Ethnically Diverse, Medically Underserved Patients with Diabetes Mellitus.
J. Am. Medical Informatics Assoc., 2006


  Loading...