Johann Knechtel

Orcid: 0000-0001-5093-2939

According to our database1, Johann Knechtel authored at least 67 papers between 2011 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Progressive Learning With Recurrent Neural Network for Sequence Classification.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

NiLoPher: Breaking a Modern SAT-Hardened Logic-Locking Scheme via Power Analysis Attack.
IACR Cryptol. ePrint Arch., 2024

Lightweight Masking Against Static Power Side-Channel Attacks.
CoRR, 2024

2023
VIGILANT: Vulnerability Detection Tool Against Fault-Injection Attacks for Locking Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Titan: Security Analysis of Large-Scale Hardware Obfuscation Using Graph Neural Networks.
IEEE Trans. Inf. Forensics Secur., 2023

Beware Your Standard Cells! On Their Role in Static Power Side-Channel Attacks.
IACR Cryptol. ePrint Arch., 2023

DEFending Integrated Circuit Layouts.
IACR Cryptol. ePrint Arch., 2023

UN-SPLIT: Attacking Split Manufacturing Using Link Prediction in Graph Neural Networks.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2023

Security Closure of IC Layouts Against Hardware Trojans.
Proceedings of the 2023 International Symposium on Physical Design, 2023

X-Volt: Joint Tuning of Driver Strengths and Supply Voltages Against Power Side-Channel Attacks.
Proceedings of the 2023 International Symposium on Physical Design, 2023

Benchmarking Advanced Security Closure of Physical Layouts: ISPD 2023 Contest.
Proceedings of the 2023 International Symposium on Physical Design, 2023

TrojanSAINT: Gate-Level Netlist Sampling-Based Inductive Learning for Hardware Trojan Detection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

ALMOST: Adversarial Learning to Mitigate Oracle-less ML Attacks via Synthesis Tuning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Graph Neural Networks: A Powerful and Versatile Tool for Advancing Design, Reliability, and Security of ICs.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Opening the Doors to Dynamic Camouflaging: Harnessing the Power of Polymorphic Devices.
IEEE Trans. Emerg. Top. Comput., 2022

Security Promises and Vulnerabilities in Emerging Reconfigurable Nanotechnology-Based Circuits.
IEEE Trans. Emerg. Top. Comput., 2022

A Novel Attack Mode on Advanced Technology Nodes Exploiting Transistor Self-Heating.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Concerted Wire Lifting: Enabling Secure and Cost-Effective Split Manufacturing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

GNN-RE: Graph Neural Networks for Reverse Engineering of Gate-Level Netlists.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

GNN4REL: Graph Neural Networks for Predicting Circuit Reliability Degradation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Design-time exploration of voltage switching against power analysis attacks in 14 nm FinFET technology.
Integr., 2022

IsoLock: Thwarting Link-Prediction Attacks on Routing Obfuscation by Graph Isomorphism.
IACR Cryptol. ePrint Arch., 2022

SuperVAULT: Superparamagnetic Volatile Auxiliary Tamper-Proof Storage.
IEEE Embed. Syst. Lett., 2022

A New Paradigm in Split Manufacturing: Lock the FEOL, Unlock at the BEOL.
Cryptogr., 2022

Hardware Trojan Threats to Cache Coherence in Modern 2.5D Chiplet Systems.
IEEE Comput. Archit. Lett., 2022

SCRAMBLE: A Secure and Configurable, Memristor-Based Neuromorphic Hardware Leveraging 3D Architecture.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Benchmarking Security Closure of Physical Layouts: ISPD 2022 Contest.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

2021
UNSAIL: Thwarting Oracle-Less Machine Learning Attacks on Logic Locking.
IEEE Trans. Inf. Forensics Secur., 2021

A Modern Approach to IP Protection and Trojan Prevention: Split Manufacturing for 3D ICs and Obfuscation of Vertical Interconnects.
IEEE Trans. Emerg. Top. Comput., 2021

Deep Learning Analysis for Split-Manufactured Layouts With Routing Perturbation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Interposer-Based Root of Trust.
CoRR, 2021

Hardware Security for and beyond CMOS Technology.
Proceedings of the ISPD '21: International Symposium on Physical Design, 2021

Toward Security Closure in the Face of Reliability Effects ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Security Closure of Physical Layouts ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

2020
Spin-Orbit Torque Devices for Hardware Security: From Deterministic to Probabilistic Regime.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Obfuscating the Interconnects: Low-Cost and Resilient Full-Chip Layout Camouflaging.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2.5D Root of Trust: Secure System-Level Integration of Untrusted Chiplets.
IEEE Trans. Computers, 2020

Power Side-Channel Attacks in Negative Capacitance Transistor.
IEEE Micro, 2020

Power Side-Channel Attacks in Negative Capacitance Transistor (NCFET).
CoRR, 2020

SMART: A Secure Magnetoelectric AntifeRromagnet-Based Tamper-Proof Non-Volatile Memory.
IEEE Access, 2020

Hardware Security For and Beyond CMOS Technology: An Overview on Fundamentals, Applications, and Challenges.
Proceedings of the ISPD 2020: International Symposium on Physical Design, Taipei, Taiwan, March 29, 2020

Towards Secure Composition of Integrated Circuits and Electronic Systems: On the Role of EDA.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Spin-Based Reconfigurable Logic for Power- and Area-Efficient Applications.
IEEE Des. Test, 2019

Toward Physically Unclonable Functions from Plasmonics-Enhanced Silicon Disc Resonators.
CoRR, 2019

An Interposer-Based Root of Trust: Seize the Opportunity for Secure System-Level Integration of Untrusted Chiplets.
CoRR, 2019

3D Integration: Another Dimension Toward Hardware Security.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

A New Paradigm in Split Manufacturing: Lock the FEOL, Unlock at the BEOL.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Attacking Split Manufacturing from a Deep Learning Perspective.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Protect Your Chip Design Intellectual Property: An Overview.
Proceedings of the International Conference on Omni-Layer Intelligent Systems, 2019

2018
Multi-Objective 3D Floorplanning with Integrated Voltage Assignment.
ACM Trans. Design Autom. Electr. Syst., 2018

Best of both worlds: integration of split manufacturing and camouflaging into a security-driven CAD flow for 3D ICs.
Proceedings of the International Conference on Computer-Aided Design, 2018

Advancing hardware security using polymorphic and stochastic spin-hall effect devices.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Raise your game for split manufacturing: restoring the true functionality through BEOL.
Proceedings of the 55th Annual Design Automation Conference, 2018

Concerted wire lifting: Enabling secure and cost-effective split manufacturing.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

Optimal die placement for interposer-based 3D ICs.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Large-Scale 3D Chips: Challenges and Solutions for Design Automation, Testing, and Trustworthy Integration.
IPSJ Trans. Syst. LSI Des. Methodol., 2017

Rethinking split manufacturing: An information-theoretic approach with secure layout techniques.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

On Mitigation of Side-Channel Attacks in 3D ICs: Decorrelating Thermal Patterns from Power and Activity.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
Physical Design Automation for 3D Chip Stacks: Challenges and Solutions.
Proceedings of the 2016 on International Symposium on Physical Design, 2016

2015
Planning Massive Interconnects in 3-D Chips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

2014
Structural planning of 3D-IC interconnects by block alignment.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Utilizing 2D and 3D rectilinear blocks for efficient IP reuse and floorplanning of 3D-integrated systems.
Proceedings of the International Symposium on Physical Design, 2013

Integration of thermal management and floorplanning based on three-dimensional layout representations.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

2012
Assembling 2-D Blocks Into 3-D Chips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Multiobjective optimization of deadspace, a critical resource for 3D-IC integration.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

2011
Assembling 2D blocks into 3D chips.
Proceedings of the 2011 International Symposium on Physical Design, 2011

Investigating modern layout representations for improved 3d design automation.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011


  Loading...