Ahmedullah Aziz

Orcid: 0000-0003-1573-4122

According to our database1, Ahmedullah Aziz authored at least 53 papers between 2012 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Design Space Exploration for Phase Transition Material-Augmented MRAMs With Separate Read-Write Paths.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

A Review on Digital Pixel Sensors.
CoRR, 2024

CMOS-Based Single-Cycle in-Memory XOR/XNOR.
IEEE Access, 2024

Compact Model of a Topological Transistor.
IEEE Access, 2024

2023
Machine Learning-powered Compact Modeling of Stochastic Electronic Devices using Mixture Density Networks.
CoRR, 2023

Reimagining Sense Amplifiers: Harnessing Phase Transition Materials for Current and Voltage Sensing.
CoRR, 2023

A Deep Dive into the Design Space of a Dynamically Reconfigurable Cryogenic Spiking Neuron.
CoRR, 2023

Superconducting Heater Cryotron-Based Reconfigurable Logic Towards Cryogenic IC Camouflaging.
CoRR, 2023

Cryogenic In-Memory Bit-Serial Addition Using Quantum Anomalous Hall Effect-Based Majority Logic.
IEEE Access, 2023

Reliable Brain-inspired AI Accelerators using Classical and Emerging Memories.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

Quantum Anomalous Hall Effect-Based Variation Robust Binary Content Addressable Memory.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

Cryogenic In-memory Binary Multiplier Using Quantum Anomalous Hall Effect Memories.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

A Novel Scalable Array Design for III-V Compound Semiconductor-based Nonvolatile Memory (UltraRAM) with Separate Read-Write Paths.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

A SPICE-based Framework to Emulate Quantum Circuits with classical LC Resonators.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

Impact of Neuron Firing Rate on Application and Algorithm Performance.
Proceedings of the 2023 International Conference on Neuromorphic Systems, 2023

A Cryogenic Artificial Synapse based on Superconducting Memristor.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

Ternary In-Memory Computing with Cryogenic Quantum Anomalous Hall Effect Memories.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

Reconfigurable Superconducting Logic Using Multi-Gate Switching of a Nano-Cryotron.
Proceedings of the Device Research Conference, 2023

Cryogenic In-Memory Matrix-Vector Multiplication using Ferroelectric Superconducting Quantum Interference Device (FE-SQUID).
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Evaluating Neuron Models through Application-Hardware Co-Design.
Proceedings of the 57th Asilomar Conference on Signals, Systems, and Computers, ACSSC 2023, Pacific Grove, CA, USA, October 29, 2023

2022
Voltage-controlled Cryogenic Boolean Logic Family Based on Ferroelectric SQUID.
CoRR, 2022

CMOS-Compatible Ising Machines built using Bistable Latches Coupled through Ferroelectric Transistor Arrays.
CoRR, 2022

Cryogenic Neuromorphic Hardware.
CoRR, 2022

A Privacy-Protecting Framework of Autonomous Contact Tracing for SARS-CoV-2 and Beyond.
CoRR, 2022

A Generalized Workflow for Creating Machine Learning-Powered Compact Models for Multi-State Devices.
IEEE Access, 2022

Variation-aware Design Space Exploration of Mott Memristor-based Neuristors.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Design Space Analysis of Superconducting Nanowire-based Cryogenic Oscillators.
Proceedings of the Device Research Conference, 2022

Cryogenic Memory Array based on Ferroelectric SQUID and Heater Cryotron.
Proceedings of the Device Research Conference, 2022

Superconducting Josephson Junction FET-based Cryogenic Voltage Sense Amplifier.
Proceedings of the Device Research Conference, 2022

2021
CryoCiM: Cryogenic Compute-in-Memory based on the Quantum Anomalous Hall Effect.
CoRR, 2021

Cryogenic Memory Technologies.
CoRR, 2021

An Oscillator-based MaxSAT solver.
CoRR, 2021

A Three-terminal Non-Volatile Ferroelectric Switch with an Insulator-Metal Transition Channel.
CoRR, 2021

Monte Carlo Variation Analysis of NCFET-based 6-T SRAM: Design Opportunities and Trade-offs.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

2020
A Non-Volatile Cryogenic Random-Access Memory Based on the Quantum Anomalous Hall Effect.
CoRR, 2020

Insulator-Metal Transition Material Based Artificial Neurons: A Design Perspective.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

2018
Symmetric 2-D-Memory Access to Multidimensional Data.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Cockcroft-Walton Multiplier based on Unipolar Ag/HfO<sub>2</sub>/Pt Threshold Switch.
Proceedings of the 76th Device Research Conference, 2018

A Three-Terminal Edge-Triggered Mott Switch.
Proceedings of the 76th Device Research Conference, 2018

Computing with ferroelectric FETs: Devices, models, systems, and applications.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Advancing Nonvolatile Computing With Nonvolatile NCFET Latches and Flip-Flops.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Read-enhanced spin memories augmented by phase transition materials (Invited).
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

Harnessing ferroelectrics for non-volatile memories and logic.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

2016
Analysis of Functional Oxide based Selectors for Cross-Point Memories.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Device Circuit Co Design of FEFET Based Logic for Low Voltage Processors.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Ferroelectric Transistor based Non-Volatile Flip-Flop.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

On the potential of correlated materials in the design of spin-based cross-point memories (Invited).
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Exploiting ferroelectric FETs for low-power non-volatile logic-in-memory circuits.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Nonvolatile memory design based on ferroelectric FETs.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Single-Ended and Differential MRAMs Based on Spin Hall Effect: A Layout-Aware Design Perspective.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

COAST: Correlated material assisted STT MRAMs for optimized read operation.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

2012
Design and Development of an Y4 Copter Control System.
Proceedings of the 14th International Conference on Computer Modelling and Simulation, 2012

Inherent Inter-vehicle Signaling Using Radio Frequency and Infra-red Communication.
Proceedings of the 14th International Conference on Computer Modelling and Simulation, 2012


  Loading...