Toshinori Sato

Orcid: 0000-0001-5272-7533

According to our database1, Toshinori Sato authored at least 107 papers between 1997 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Building a hospitable and reliable dialogue system for android robots: a scenario-based approach with large language models.
Adv. Robotics, November, 2023

PHALM: Building a Knowledge Graph from Scratch by Prompting Humans and a Language Model.
CoRR, 2023

Dialogue Systems Can Generate Appropriate Responses without the Use of Question Marks? - Investigation of the Effects of Question Marks on Dialogue Systems.
CoRR, 2023

An Open-Domain Avatar Chatbot by Exploiting a Large Language Model.
Proceedings of the 24th Meeting of the Special Interest Group on Discourse and Dialogue, 2023

Bridging the Gap between Subword and Character Segmentation in Pretrained Language Models.
Proceedings of the 14th International Conference on Recent Advances in Natural Language Processing, 2023

A Follow-up Study on Evaluation Metrics Using Follow-up Utterances.
Proceedings of the 37th Pacific Asia Conference on Language, 2023

Comparative Evaluation between Carry Prediction and Sign Error Correction in Approximate Addition.
Proceedings of the 20th International SoC Design Conference, 2023

Leveraging Approximate Computing for IoT Image Transmission.
Proceedings of the 20th International SoC Design Conference, 2023

Negative Impact of Approximated Signed Addition on Power Reduction.
Proceedings of the International Symposium on Devices, Circuits and Systems, 2023

Towards At-the-Edge ECG Signal Processing with Accuracy-tunable Approximate Adders.
Proceedings of the 12th IEEE Global Conference on Consumer Electronics, 2023

2022
Tourist Guidance Robot Based on HyperCLOVA.
CoRR, 2022

Building a Personalized Dialogue System with Prompt-Tuning.
Proceedings of the 2022 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies: Student Research Workshop, 2022

Reducing Power Consumption using Approximate Encoding for CNN Accelerators at the Edge.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

An Accuracy-Controllable Approximate Adder for FPGAs.
Proceedings of the 4th International Symposium on Advanced Technologies and Applications in the Internet of Things (ATAIT 2022), 2022

2020
Exploiting Configurable Approximations for Tolerating Aging-induced Timing Violations.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2020

An Accuracy-Configurable Adder for Low-Power Applications.
IEICE Trans. Electron., 2020

A Dynamically Configurable Approximate Array Multiplier with Exact Mode.
Proceedings of the 5th International Conference on Computer and Communication Systems, 2020

2019
Design and Analysis of Approximate Multipliers with a Tree Compressor.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2019

Trading Accuracy for Power with a Configurable Approximate Adder.
IEICE Trans. Electron., 2019

Evaluation on Configurable Approximate Circuit for Aging-Induced Timing Violation Tolerance.
Proceedings of the 24th IEEE Pacific Rim International Symposium on Dependable Computing, 2019

On Applications of Configurable Approximation to Irregular Voltage.
Proceedings of the 2019 IEEE Nordic Circuits and Systems Conference, 2019

An Approximate Multiply-Accumulate Unit with Low Power and Reduced Area.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

A Low-Power Approximate Multiply-Add Unit.
Proceedings of the 2nd International Symposium on Devices, Circuits and Systems, 2019

Design of a Low-power and Small-area Approximate Multiplier using First the Approximate and then the Accurate Compression Method.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Tolerating Aging-Induced Timing Violations Via Configurable Approximations.
Proceedings of the IEEE 8th Global Conference on Consumer Electronics, 2019

Correcting Sign Calculation Errors in Configurable Approximations.
Proceedings of the 2019 IEEE Asia Pacific Conference on Circuits and Systems, 2019

2018
Design and Analysis of A Low-Power High-Speed Accuracy-Controllable Approximate Multiplier.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2018

A Low-Power and Small-Area Multiplier for Accuracy-Scalable Approximate Computing.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

A low-power configurable adder for approximate applications.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

Exploiting Configurability for Correct Sign Calculation in an Approximate Adder.
Proceedings of the International SoC Design Conference, 2018

Approximate Adder Generation for Image Processing Using Convolutional Neural Network.
Proceedings of the International SoC Design Conference, 2018

A Low-Power Yet High-Speed Configurable Adder for Approximate Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A low-power high-speed accuracy-controllable approximate multiplier design.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Low-Power and High-Speed Approximate Multiplier Design with a Tree Compressor.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

2013
Improving timing error tolerance without impact on chip area and power consumption.
Proceedings of the International Symposium on Quality Electronic Design, 2013

2012
A Selective Replacement Method for Timing-Error-Predicting flip-Flops.
J. Circuits Syst. Comput., 2012

Analysis of SER Improvement by Radiation Hardened Latches.
Proceedings of the IEEE 18th Pacific Rim International Symposium on Dependable Computing, 2012

Guidelines for mitigating NBTI degradation in on-chip memories.
Proceedings of the International Symposium on Communications and Information Technologies, 2012

Dynamically reducing overestimated design margin of MultiCores.
Proceedings of the 2012 International Conference on High Performance Computing & Simulation, 2012

Topic 4: High-Performance Architecture and Compilers.
Proceedings of the Euro-Par 2012 Parallel Processing - 18th International Conference, 2012

Importance of Single-Core Performance in the Multicore Era.
Proceedings of the Thirty-Fifth Australasian Computer Science Conference, 2012

2011
Short Term Cell-Flipping Technique for Mitigating SNM Degradation Due to NBTI.
IEICE Trans. Electron., 2011

Multicore Power Management Utilizing Error-Predicting Flip-flop.
Proceedings of the International Conference on Complex, 2011

2010
A Replacement Strategy for Canary Flip-Flops.
Proceedings of the 16th IEEE Pacific Rim International Symposium on Dependable Computing, 2010

Signal probability control for relieving NBTI in SRAM cells.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

2009
Enhancements of a Circuit-Level Timing Speculation Technique and Their Evaluations Using a Co-simulation Environment.
IEICE Trans. Electron., 2009

A case for exploiting complex arithmetic circuits towards performance yield enhancement.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

Uncriticality-directed scheduling for tackling variation and power challenges.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

2008
A Low-Power Instruction Issue Queue for Microprocessors.
IEICE Trans. Electron., 2008

A Simple Mechanism for Collapsing Instructions under Timing Speculation.
IEICE Trans. Electron., 2008

AMPLE: An Adaptive Multi-Performance Processor for Low-Energy Embedded Applications.
Proceedings of the IEEE Symposium on Application Specific Processors, 2008

Uncriticality-Directed Low-Power Instruction Scheduling.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008

Instruction Scheduling for Variation-Originated Variable Latencies.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Formulating MITF for a Multicore Processor with SEU Tolerance.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

Dependability, power, and performance trade-off on a multicore processor.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Introduction.
SIGARCH Comput. Archit. News, 2007

Realizing Energy-Efficient MultiCore Processors by Utilizing Speculative Thread-Level Parallelism.
Int. J. Comput. Their Appl., 2007

Power-Performance Trade-Off of a Dependable Multicore Processor.
Proceedings of the 13th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2007), 2007

Exploiting Input Variations for Energy Reduction.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2007

A Simple Flip-Flop Circuit for Typical-Case Designs for DFM.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Challenges in Evaluations for a Typical-Case Design Methodology.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Indirect Tag Search Mechanism for Instruction Window Energy Reduction.
Proceedings of the Seventh International Conference on Computer and Information Technology (CIT 2007), 2007

2006
Energy-efficient instruction scheduling utilizing cache miss information.
SIGARCH Comput. Archit. News, 2006

A leakage-energy-reduction technique for cache memories in embedded processors.
J. Embed. Comput., 2006

Evaluating the Impact of Fault Recovery on Superscalar Processor Performance.
Proceedings of the 12th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2006), 2006

Improving Energy Efficiency Via Speculative Multithreading on MultiCore Processors.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2006

2005
An Energy-Efficient Clustered Superscalar Processor.
IEICE Trans. Electron., 2005

Profiling with Helper Threads.
Proceedings of the IASTED International Conference on Parallel and Distributed Computing and Networks, 2005

Folding Active List for High Performance and Low Power.
Proceedings of the High-Performance Computing - 6th International Symposium, 2005

Exploiting Trivial Computation in Dependable Processors.
Proceedings of the 20th International Conference on Computers and Their Applications, 2005

2004
A leakage-energy-reduction technique for highly-associative caches in embedded systems.
SIGARCH Comput. Archit. News, 2004

The potential in energy efficiency of a speculative chip-multiprocessor.
Proceedings of the SPAA 2004: Proceedings of the Sixteenth Annual ACM Symposium on Parallelism in Algorithms and Architectures, 2004

Investigating heterogeneous combination of functional units for a criticality-based low-power processor architecture.
Proceedings of the Intenational Symposium on Information and Communication Technologies, 2004

Leakage Energy Reduction in Register Renaming.
Proceedings of the 24th International Conference on Distributed Computing Systems Workshops (ICDCS 2004 Workshops), 2004

Non-uniform Set-Associative Caches for Power-Aware Embedded Processors.
Proceedings of the Embedded and Ubiquitous Computing, 2004

A static and dynamic energy reduction technique for I-cache and BTB in embedded processors.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
A trace-level value predictor for Contrail processors.
SIGARCH Comput. Archit. News, 2003

Combining variable latency pipeline with instruction reuse for execution latency reduction.
Syst. Comput. Jpn., 2003

Reducing Static Energy of Cache Memories via Prediction-Table-Less Way Prediction.
Proceedings of the Integrated Circuit and System Design, 2003

A field-customizable and runtime-adaptable microarchitecture.
Proceedings of the 2003 IEEE International Conference on Field-Programmable Technology, 2003

Exploiting Instruction Redundancy for Transient Fault Tolerance.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

Simplifying High-Frequency Microprocessor Design via Timing Constraint Speculation.
Proceedings of the 16th International Conference on Computer Applications in Industry and Engineering, 2003

2002
Evaluating the impact of reissued instructions on data speculative processor performance.
Microprocess. Microsystems, 2002

Evaluating Influence of Compiler Optimizations on Data Speculation.
J. Inf. Sci. Eng., 2002

The KIT COSMOS Processor: An Application of Multi-Threading for Dynamic Optimization.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2002

Reducing Energy Consumption via Low-Cost Value Prediction.
Proceedings of the Integrated Circuit Design. Power and Timing Modeling, 2002

Low-Cost Value Predictors Using Frequent Value Locality.
Proceedings of the High Performance Computing, 4th International Symposium, 2002

Simplifying Instruction Issue Logic in Superscalar Processors.
Proceedings of the 2002 Euromicro Symposium on Digital Systems Design (DSD 2002), 2002

2001
Evaluating Low-Cost Fault-Tolerance Mechanism for Microprocessors on Multimedia Applications.
Proceedings of the 8th Pacific Rim International Symposium on Dependable Computing (PRDC 2001), 2001

In Search of Efficient Reliable Processor Design.
Proceedings of the 2001 International Conference on Parallel Processing, 2001

Influence of Compiler Optimizations on Value Prediction.
Proceedings of the High-Performance Computing and Networking, 9th International Conference, 2001

Execution Latency Reduction via Variable Latency Pipeline and Instruction Reuse.
Proceedings of the Euro-Par 2001: Parallel Processing, 2001

Tolerating Transient Faults through an Instruction Reissue Mechanism.
Proceedings of the ISCA 14th International Conference on Parallel and Distributed Computing Systems, 2001

2000
Vector Unit Architecture for Emotion Synthesis.
IEEE Micro, 2000

2.44-GFLOPS 300-MHz floating-point vector-processing unit for high-performance 3D graphics computing.
IEEE J. Solid State Circuits, 2000

Quantitative evaluation of pipelining and decoupling a dynamic instruction scheduling mechanism.
J. Syst. Archit., 2000

The KIT COSMOS Processor: Introducing CONDOR.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2000

Comprehensive Evaluation of an Instruction Reissue Mechanism.
Proceedings of the 5th International Symposium on Parallel Architectures, 2000

Table size reduction for data value predictors by exploiting narrow width values.
Proceedings of the 14th international conference on Supercomputing, 2000

Partial Resolution in Data Value Predictors.
Proceedings of the 2000 International Conference on Parallel Processing, 2000


1999
A Simulation Study of Combining Load Value and Address Predictors.
Int. J. High Speed Comput., 1999

Profile-Based Selection of Load Value and Address Predictors.
Proceedings of the High Performance Computing, Second International Symposium, 1999

Decoupling Recovery Mechanism for Data Speculation from Dynamic Instruction Scheduling Structure.
Proceedings of the Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31, 1999

A Simulation Study of Pipelining and Decoupling a Dynamic Instruction Scheduling Mechanism.
Proceedings of the 25th EUROMICRO '99 Conference, 1999

1998
Data Dependence Speculation Using Data Address Prediction and its Enhancement with Instruction Reissue.
Proceedings of the 24th EUROMICRO '98 Conference, 1998

1997
Data Dependence Path Reductio with Tunneling Load Instructions.
Proceedings of the High Performance Computing, International Symposium, 1997


  Loading...