Hiroyuki Tomiyama

Orcid: 0000-0003-1655-7877

Affiliations:
  • Ritsumeikan University, Department of Electronic and Computer Engineering


According to our database1, Hiroyuki Tomiyama authored at least 193 papers between 1996 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Dynamic Point-Pixel Feature Alignment for Multimodal 3-D Object Detection.
IEEE Internet Things J., April, 2024

A Non-Work Conserving Algorithm for Dynamic Scheduling of Moldable Gang Tasks on Multicore Systems.
Proceedings of the International Conference on Electronics, Information, and Communication, 2024

Fast 32-bit and 48-bit Multipliers for FPGA.
Proceedings of the International Conference on Electronics, Information, and Communication, 2024

Multi-Trip Routing of Delivery Drones with Load-Dependent Flight Speed.
Proceedings of the International Conference on Electronics, Information, and Communication, 2024

Impacts of Clock Frequency and Sampling Intervals on Power Side-Channel Leakage of AES Circuits.
Proceedings of the International Conference on Electronics, Information, and Communication, 2024

Spin Estimation for Back Spin Serves in Table Tennis Using Racket Speed and Angle.
Proceedings of the International Conference on Electronics, Information, and Communication, 2024

2023
A Deep Reinforcement Learning Approach to Droplet Routing for Erroneous Digital Microfluidic Biochips.
Sensors, November, 2023

Rice Yield Prediction in Different Growth Environments Using Unmanned Aerial Vehicle-Based Hyperspectral Imaging.
Remote. Sens., April, 2023

An architecture-level analysis on deep learning models for low-impact computations.
Artif. Intell. Rev., March, 2023

Transport-Free Placement of Mixers for Realizing Bioprotocol on Programmable Microfluidic Devices.
Proceedings of the 36th International Conference on VLSI Design and 2023 22nd International Conference on Embedded Systems, 2023

Message from the Chairs: RTCSA 2023.
Proceedings of the 29th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2023

A Fast Approach to Droplet Routing with Shape-Dependent Velocity on MEDA Biochips.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

A Deep Reinforcement Learning-based Routing Algorithm for Unknown Erroneous Cells in DMFBs.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Multi-Droplet Routing based on a Shape-Dependent Velocity Model on MEDA Biochips.
Proceedings of the International Conference on Electronics, Information, and Communication, 2023

Empirical Analysis of Side-Channel Attack Resistance of HLS-designed AES Circuits.
Proceedings of the International Conference on Electronics, Information, and Communication, 2023

Impacts of Clock Constraints on Side-Channel Leakage of HLS-designed AES Circuits.
Proceedings of the International Conference on Electronics, Information, and Communication, 2023

Surveillance Routing with a Minimum Number of Drones.
Proceedings of the Eleventh International Symposium on Computing and Networking, CANDAR 2023, 2023

Simulation-based Analysis of Power Side-Channel Leakage at Different Sampling Intervals.
Proceedings of the Eleventh International Symposium on Computing and Networking, CANDAR 2023, 2023

Design and Evaluation of AES Encryption Circuits with Various S-Box Implementation.
Proceedings of the 5th International Symposium on Advanced Technologies and Applications in the Internet of Things, 2023

Ball Touching Net Detection Using Piezoelectric Element in Table Tennis.
Proceedings of the 5th International Symposium on Advanced Technologies and Applications in the Internet of Things, 2023

Drone path planning method to reduce energy consumption.
Proceedings of the 5th International Symposium on Advanced Technologies and Applications in the Internet of Things, 2023

Faster Depth Estimation for Autonomous Flying Drones.
Proceedings of the 5th International Symposium on Advanced Technologies and Applications in the Internet of Things, 2023

In/Out Judgement by Ball Tracking in Table Tennis.
Proceedings of the 5th International Symposium on Advanced Technologies and Applications in the Internet of Things, 2023

2022
Pix2Pix-Based Monocular Depth Estimation for Drones with Optical Flow on AirSim.
Sensors, 2022

Mouldable fork-join task scheduling techniques with inter and intra-task communications.
Int. J. Embed. Syst., 2022

Simultaneous Scheduling and Core-Type Optimization for Moldable Fork-Join Tasks on Heterogeneous Multicores.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2022

ILP-Based and Heuristic Scheduling Techniques for Variable-Cycle Approximate Functional Units in High-Level Synthesis.
Comput., 2022

Shape-Dependent Velocity Based Droplet Routing on MEDA Biochips.
IEEE Access, 2022

Container-based Throughput Balancing for Multiple Streaming Applications: A Case Study.
Proceedings of the 11th Mediterranean Conference on Embedded Computing, 2022

A Fair-Policy Dynamic Scheduling Algorithm for Moldable Gang Tasks on Multicores.
Proceedings of the 11th Mediterranean Conference on Embedded Computing, 2022

Monocular Depth Estimation with Optical Flow Attention for Autonomous Drones.
Proceedings of the 19th International SoC Design Conference, 2022

Fusing Infrared and Visible Images for DNN-based Nighttime Human Detection.
Proceedings of the 19th International SoC Design Conference, 2022

Energy Consumption Reduction through Resource Allocation Using Docker.
Proceedings of the 2022 Tenth International Symposium on Computing and Networking, CANDAR 2022, 2022

Priority-aware Static Task Mapping for Edge-Cloud Platforms.
Proceedings of the International Conference on Electronics, Information, and Communication, 2022

Depth Estimation from Monocular Infrared Images for Autonomous Flight of Drones.
Proceedings of the International Conference on Electronics, Information, and Communication, 2022

An ILP-based Approach to Delivery Drone Routing under Load-dependent Flight Speed.
Proceedings of the International Conference on Electronics, Information, and Communication, 2022

Evaluation of Power Analysis Attack Resistance of Masked Adders on FPGA.
Proceedings of the 4th International Symposium on Advanced Technologies and Applications in the Internet of Things (ATAIT 2022), 2022

Monocular Thermal Camera Depth Estimation with Optical Flow for Autonomous Drones.
Proceedings of the 4th International Symposium on Advanced Technologies and Applications in the Internet of Things (ATAIT 2022), 2022

An Accuracy-Controllable Approximate Adder for FPGAs.
Proceedings of the 4th International Symposium on Advanced Technologies and Applications in the Internet of Things (ATAIT 2022), 2022

A Heuristic Scheduling Algorithm with Variable-Cycle Approximate Functional Units in High-Level Synthesis.
Proceedings of the 4th International Symposium on Advanced Technologies and Applications in the Internet of Things (ATAIT 2022), 2022

Delivery Drone Routing under Load-dependent Flight Speed based on Integer Quadratic Programming.
Proceedings of the 4th International Symposium on Advanced Technologies and Applications in the Internet of Things (ATAIT 2022), 2022

A Case Study on Forehand Footwork Mistake Detection in Table Tennis.
Proceedings of the 4th International Symposium on Advanced Technologies and Applications in the Internet of Things (ATAIT 2022), 2022

2021
Energy-aware Routing of Delivery Drones under Windy Conditions.
IPSJ Trans. Syst. LSI Des. Methodol., 2021

Power Side-Channel Analysis for Different Adders on FPGA.
Proceedings of the 18th International SoC Design Conference, 2021

High-Level Synthesis of Approximate Computing Circuits with Dual Accuracy Modes.
Proceedings of the 18th International SoC Design Conference, 2021

Design of a 32-bit Accuracy-Controllable Approximate Multiplier for FPGAs.
Proceedings of the 18th International SoC Design Conference, 2021

Scheduling with Variable-Cycle Approximate Functional Units in High-Level Synthesis.
Proceedings of the 18th International SoC Design Conference, 2021

Impacts of HLS Optimizations on Side-Channel Leakage for AES Circuits.
Proceedings of the 18th International SoC Design Conference, 2021

A Comprehensive Analysis of Low-Impact Computations in Deep Learning Workloads.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

A Home Security Camera System with Container-based Resource Allocation on Raspberry Pi.
Proceedings of the International Conference on Electronics, Information, and Communication, 2021

Minimization of Routing Area in MEDA Biochips.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021

Pix2Pix-Based Depth Estimation from Monocular Images for Dynamic Path Planning of Multirotor on AirSim.
Proceedings of the 2021 International Symposium on Advanced Technologies and Applications in the Internet of Things, 2021

Frailty Classification Based on Artificial Intelligence.
Proceedings of the 2021 International Symposium on Advanced Technologies and Applications in the Internet of Things, 2021

Routing of Delivery Drones Considering Load and Wind Effects.
Proceedings of the 2021 International Symposium on Advanced Technologies and Applications in the Internet of Things, 2021

2020
A Dynamic Programming Algorithm for Energy-aware Routing of Delivery Drones.
IPSJ Trans. Syst. LSI Des. Methodol., 2020

A branch-and-bound approach to scheduling of data-parallel tasks on multi-core architectures.
Int. J. Embed. Syst., 2020

Scheduling of moldable fork-join tasks with inter- and intra-task communications.
Proceedings of the SCOPES '20: 23rd International Workshop on Software and Compilers for Embedded Systems, 2020

An Evaluation of Edge Computing Platform for Reliable Automated Drones.
Proceedings of the International SoC Design Conference, 2020

Scheduling of Rigid Tasks on Heterogeneous Multicores.
Proceedings of the International SoC Design Conference, 2020

A Case Study on Rubbing Character Recognition Based on Deep Learning.
Proceedings of the International SoC Design Conference, 2020

A Quadcopters Flight Simulation Considering the Influence of Wind.
Proceedings of the International SoC Design Conference, 2020

Heuristic Algorithms for Dynamic Scheduling of Moldable Tasks.
Proceedings of the International SoC Design Conference, 2020

Frame Detection and Text Line Segmentation for Early Japanese Books Understanding.
Proceedings of the 9th International Conference on Pattern Recognition Applications and Methods, 2020

2019
ILP-based scheduling for malleable fork-join tasks.
SIGBED Rev., 2019

Energy-aware scheduling of malleable fork-join tasks under a deadline constraint on heterogeneous multicores.
SIGBED Rev., 2019

Robust Self-Adaptation Fall-Detection System Based on Camera Height.
Sensors, 2019

Three-States-Transition Method for Fall Detection Algorithm Using Depth Image.
J. Robotics Mechatronics, 2019

Design and Evaluation of Asymmetric and Symmetric 32-core Architectures on FPGA.
IPSJ Trans. Syst. LSI Des. Methodol., 2019

Communication-Aware Scheduling of Data-Parallel Tasks on Multicore Architectures.
IPSJ Trans. Syst. LSI Des. Methodol., 2019

An OpenCL-based Software Framework for a Heterogeneous Multicore Architecture on Zynq-7000 SoC.
IPSJ Trans. Syst. LSI Des. Methodol., 2019

A Genetic Algorithm for Scheduling of Data-parallel Tasks on Multicore Architectures.
IPSJ Trans. Syst. LSI Des. Methodol., 2019

QoE-Constrained Concurrent Request Optimization Through Collaboration of Edge Servers.
IEEE Internet Things J., 2019

A Constraint Programming Approach to Scheduling of Malleable Tasks.
Int. J. Netw. Comput., 2019

Work-in-Progress: Routing of Delivery Drones with Load-Dependent Flight Speed.
Proceedings of the IEEE Real-Time Systems Symposium, 2019

Maximum Error-Aware Design of Approximate Array Multipliers.
Proceedings of the 2019 International SoC Design Conference, 2019

Scheduling of Malleable Tasks with DMA-based Communication.
Proceedings of the 2019 International SoC Design Conference, 2019

Function-Level Module Sharing in High-Level Synthesis.
Proceedings of the 2019 International SoC Design Conference, 2019

Lucas-Kanade Optical Flow Based Camera Motion Estimation Approach.
Proceedings of the 2019 International SoC Design Conference, 2019

A Web-Based Routing and Visualization Tool for Drone Delivery.
Proceedings of the Seventh International Symposium on Computing and Networking Workshops, 2019

2018
Scheduling of Malleable Tasks Based on Constraint Programming.
Proceedings of the TENCON 2018, 2018

Synthesis of Full Hardware Implementation of RTOS-Based Systems.
Proceedings of the 2018 International Symposium on Rapid System Prototyping, 2018

A HOG-SVM Based Fall Detection IoT System for Elderly Persons Using Deep Sensor.
Proceedings of the 2018 International Conference on Identification, 2018

A Case Study on Memory Architecture Exploration for Manycores on an FPGA.
Proceedings of the Sixth International Symposium on Computing and Networking, 2018

Scheduling of Malleable Fork-Join Tasks with Constraint Programming.
Proceedings of the Sixth International Symposium on Computing and Networking, 2018

Revisiting Thread Execution Methods for GPU-Oriented OpenCL Programs on Multicore Processors.
Proceedings of the Sixth International Symposium on Computing and Networking, 2018

Communication-aware scheduling of data-parallel tasks: work-in-progress.
Proceedings of the International Conference on Compilers, 2018

2017
A dual-mode scheduling approach for task graphs with data parallelism.
Int. J. Embed. Syst., 2017

A Systematic Methodology for Design and Worst-Case Error Analysis of Approximate Array Multipliers.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

Static Mapping of Parallelizable Tasks under Deadline Constraints.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

ILP-Based Scheduling for Parallelizable Tasks.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

Binary synthesis implementing external interrupt handler as independent module.
Proceedings of the International Symposium on Rapid System Prototyping, 2017

Power Measurement and Modeling of Quadcopters on Horizontal Flight.
Proceedings of the Fifth International Symposium on Computing and Networking, 2017

2016
Static Mapping of Multiple Parallel Applications on Non-Hierarchical Manycore Embedded Systems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2016

Energy-aware task migration for multiprocessor real-time systems.
Future Gener. Comput. Syst., 2016

A systematic methodology for design and analysis of approximate array multipliers.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

2015
Function-level profiling for embedded software with QEMU.
Int. J. Embed. Syst., 2015

Comparison of Thread Execution Methods for GPU-oriented OpenCL Programs on Multicore Processors.
Proceedings of the embedded operating system workshop, 2015

Profiling-driven multi-cycling in FPGA high-level synthesis.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Impact of Resource Sharing and Register Retiming on Area and Performance of FPGA-based Designs.
IPSJ Trans. Syst. LSI Des. Methodol., 2014

Novel List Scheduling Strategies for Data Parallelism Task Graphs.
Int. J. Netw. Comput., 2014

Static Mapping with Dynamic Switching of Multiple Data-Parallel Applications on Embedded Many-Core SoCs.
IEICE Trans. Inf. Syst., 2014

Fast and Accurate Architecture Exploration for High Performance and Low Energy VLIW Data-Path.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

An Integrated Framework for Energy Optimization of Embedded Real-Time Applications.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

Task Migration for Energy Saving in Real-Time Multiprocessor Systems.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

Fast Design-Space Exploration Method for SW/HW Codesign on FPGAs.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

Cache Simulation for Instruction Set Simulator QEMU.
Proceedings of the IEEE 12th International Conference on Dependable, 2014

A dual-mode scheduling algorithm for task graphs with data parallelism.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

2013
Message from the Editor-in-Chief.
IPSJ Trans. Syst. LSI Des. Methodol., 2013

Quantitative Evaluation of Resource Sharing in High-level Synthesis Using Realistic Benchmarks.
IPSJ Trans. Syst. LSI Des. Methodol., 2013

Rainbow: An Operating System for Software-Hardware Multitasking on Dynamically Partially Reconfigurable FPGAs.
Int. J. Reconfigurable Comput., 2013

Naxim: A Fast and Retargetable Network-on-Chip Simulator with QEMU and SystemC.
Int. J. Netw. Comput., 2013

Static Mapping of Multiple Data-Parallel Applications on Embedded Many-Core SoCs.
IEICE Trans. Inf. Syst., 2013

Function profiling for embedded software by utilizing QEMU and analyzer tool.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

Cost-efficient scheduling in high-level synthesis for Soft-Error Vulnerability Mitigation.
Proceedings of the International Symposium on Quality Electronic Design, 2013

Automated Identification of Performance Bottleneck on Embedded Systems for Design Space Exploration.
Proceedings of the Embedded Systems: Design, Analysis and Verification, 2013

List Scheduling Strategies for Task Graphs with Data Parallelism.
Proceedings of the First International Symposium on Computing and Networking, 2013

SMYLE OpenCL: A programming framework for embedded many-core SoCs.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
A Fast Performance Estimation Framework for System-Level Design Space Exploration.
IPSJ Trans. Syst. LSI Des. Methodol., 2012

A Novel Framework for Effective Preemptive Hardware Multitasking on FPGAs.
IEICE Trans. Inf. Syst., 2012

Comparison of Preemption Schemes for Partially Reconfigurable FPGAs.
IEEE Embed. Syst. Lett., 2012

Energy-aware SA-based instruction scheduling for fine-grained power-gated VLIW processors.
Proceedings of the International SoC Design Conference, 2012

Task mapping techniques for embedded many-core SoCs.
Proceedings of the International SoC Design Conference, 2012

A Fast Network-on-Chip Simulator with QEMU and SystemC.
Proceedings of the Third International Conference on Networking and Computing, 2012

Selective Resource Sharing with RT-Level Retiming for Clock Enhancement in High-Level Synthesis.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

Clock-constrained simultaneous allocation and binding for multiplexer optimization in high-level synthesis.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Partitioning and Allocation of Scratch-Pad Memory for Energy Minimization of Priority-Based Preemptive Multi-Task Systems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

Rainbow: An OS Extension for Hardware Multitasking on Dynamically Partially Reconfigurable FPGAs.
Proceedings of the 2011 International Conference on Reconfigurable Computing and FPGAs, 2011

Hardware multitasking in dynamically partially reconfigurable FPGA-based embedded systems.
Proceedings of the International SoC Design Conference, 2011

Fast design space exploration for mixed hardware-software embedded systems.
Proceedings of the International SoC Design Conference, 2011

An integrated optimization framework for reducing the energy consumption of embedded real-time applications.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

An Energy Aware Design Space Exploration for VLIW AGU Model with Fine Grained Power Gating.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

Checkpoint Extraction Using Execution Traces for Intra-task DVFS in Embedded Systems.
Proceedings of the Sixth IEEE International Symposium on Electronic Design, 2011

2010
Efficient Design Space Exploration at System Level with Automatic Profiler Instrumentation.
IPSJ Trans. Syst. LSI Des. Methodol., 2010

Static Task Scheduling Algorithms Based on Greedy Heuristics for Battery-Powered DVS Systems.
IEICE Trans. Inf. Syst., 2010

Partitioning of Behavioral Descriptions with Exploiting Function-Level Parallelism.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Automatic Communication Synthesis with Hardware Sharing for Multi-Processor SoC Design.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Automatic communication synthesis with hardware sharing for design space exploration.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A Novel Mechanism for Effective Hardware Task Preemption in Dynamically Reconfigurable Systems.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

Aggressive Register Unsharing Based on SSA Transformation for Clock Enhancement in High-Level Synthesis.
Proceedings of the Fifth IEEE International Symposium on Electronic Design, 2010

Partitioning and allocation of scratch-pad memory for priority-based preemptive multi-task systems.
Proceedings of the Design, Automation and Test in Europe, 2010

Minimizing inter-task interferences in scratch-pad memory usage for reducing the energy consumption of multi-task systems.
Proceedings of the 2010 International Conference on Compilers, 2010

2009
Proposal and Quantitative Analysis of the CHStone Benchmark Program Suite for Practical C-based High-level Synthesis.
J. Inf. Process., 2009

A Generalized Framework for Energy Savings in Hard Real-Time Embedded Systems.
IPSJ Trans. Syst. LSI Des. Methodol., 2009

Partitioning and Allocation of Scratch-Pad Memory in Priority-Based Multi-Task Systems.
IPSJ Trans. Syst. LSI Des. Methodol., 2009

Effective Scheduling Algorithms for I/O Blocking with a Multi-Frame Task Model.
IEICE Trans. Inf. Syst., 2009

Practical Energy-Aware Scheduling for Real-Time Multiprocessor Systems.
Proceedings of the 15th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2009

Heuristics for Static Voltage Scheduling Algorithms on Battery-Powered DVS Systems.
Proceedings of the International Conference on Embedded Software and Systems, 2009

Analyzing and optimizing energy efficiency of algorithms on DVS systems a first step towards algorithmic energy minimization.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Dynamic Power Management for Embedded System Idle State in the Presence of Periodic Interrupt Services.
IPSJ Trans. Syst. LSI Des. Methodol., 2008

Embedded System Covalidation with RTOS Model and FPGA.
IPSJ Trans. Syst. LSI Des. Methodol., 2008

High-Level Synthesis of Software Function Calls.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

An Effective GA-Based Scheduling Algorithm for FlexRay Systems.
IEICE Trans. Inf. Syst., 2008

Energy efficiency of scratch-pad memory in deep submicron domains: an empirical study.
IEICE Electron. Express, 2008

CHStone: A benchmark program suite for practical C-based high-level synthesis.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Energy Efficiency of Scratch-Pad Memory at 65 nm and Below: An Empirical Study.
Proceedings of the International Conference on Embedded Software and Systems, 2008

A Generalized Framework for System-Wide Energy Savings in Hard Real-Time Embedded Systems.
Proceedings of the 2008 IEEE/IPIP International Conference on Embedded and Ubiquitous Computing (EUC 2008), 2008

Improved Policies for Drowsy Caches in Embedded Processors.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

2007
Practice and analysis of an extension course for training trainers of embedded software.
SIGBED Rev., 2007

Function-Level Partitioning of Sequential Programs for Efficient Behavioral Synthesis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

Function Call Optimization for Efficient Behavioral Synthesis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

Scheduling Algorithms for I/O Blockings with a Multi-frame Task Model.
Proceedings of the 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 2007

Power Optimization for Embedded System Idle Time in the Presence of Periodic Interrupt Services.
Proceedings of the Embedded System Design: Topics, Techniques and Trends, IFIP TC10 Working Conference: International Embedded Systems Symposium (IESS), May 30, 2007

Behavioral Synthesis of Double-Precision Floating-Point Adders with Function-Level Transformations: A Case Study.
Proceedings of the Embedded Software and Systems, [Third] International Conference, 2007

A Hardware/Software Cosimulator with RTOS Supports for Multiprocessor Embedded Systems.
Proceedings of the Embedded Software and Systems, [Third] International Conference, 2007

Complexity-constrainted partitioning of sequential programs for efficient behavioral synthesis.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

A Software Framework for Energy and Performance Tradeoff in Fixed-Priority Hard Real-Time Embedded Systems.
Proceedings of the Embedded and Ubiquitous Computing, International Conference, 2007

RTOS and Codesign Toolkit for Multiprocessor Systems-on-Chip.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
Function Call Optimization in Behavioral Synthesis.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006

2005
NEXCESS: Nagoya university extension courses for embedded software specialists.
SIGBED Rev., 2005

An RTOS-Based Design and Validation Methodology for Embedded Systems.
IEICE Trans. Inf. Syst., 2005

An Efficient Search Algorithm of Worst-Case Cache Flush Timings.
Proceedings of the 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2005), 2005

Cosimulation of ITRON-based embedded software with SystemC.
Proceedings of the Tenth IEEE International High-Level Design Validation and Test Workshop 2005, Napa Valley, CA, USA, November 30, 2005

A GA-based scheduling method for FlexRay systems.
Proceedings of the EMSOFT 2005, 2005

2004
ILP-Based Program Path Analysis for Bounding Worst-Case Inter-Task Cache Conflicts.
IEICE Trans. Inf. Syst., 2004

RTOS-centric hardware/software cosimulator for embedded system design.
Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2004

2003
Towards Automatic Validation of Dynamic Behavior in Pipelined Processor Specifications.
Des. Autom. Embed. Syst., 2003

Data Organization Exploration for Low-Energy Address Buses.
Proceedings of the First Workshop on Embedded Systems for Real-Time Multimedia, 2003

2002
Automatic Modeling and Validation of Pipeline Specifications Driven by an Architecture Description Language.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Data Memory Design Considering Effective Bitwidth for Low-Energy Embedded Systems.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

Automatic Verification of In-Order Execution In Microprocessors with Fragmented Pipelines and Multicycle Functional Units.
Proceedings of the 2002 Design, 2002

2001
Satisfying Timing Constraints of Preemptive Real-Time Tasks through Task Layout Technique.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

New directions in compiler technology for embedded systems (embedded tutorial).
Proceedings of ASP-DAC 2001, 2001

2000
Verification of in-order execution in pipelined processors.
Proceedings of the IEEE International High-Level Design Validation and Test Workshop 2000, 2000

Program path analysis to bound cache-related preemption delay in preemptive real-time systems.
Proceedings of the Eighth International Workshop on Hardware/Software Codesign, 2000

1998
Embedded System Design Using Soft-Core Processor and Valen-C.
J. Inf. Sci. Eng., 1998

Statistical Performance-Driven Module Binding in High-Level Synthesis.
Proceedings of the 11th International Symposium on System Synthesis, 1998

Instruction Encoding Techniques for Area Minimization of Instruction ROM.
Proceedings of the 11th International Symposium on System Synthesis, 1998

Instruction Scheduling for Power Reduction in Processor-Based System Design.
Proceedings of the 1998 Design, 1998

Module Selection Using Manufacturing Information.
Proceedings of the ASP-DAC '98, 1998

1997
Code placement techniques for cache miss rate reduction.
ACM Trans. Design Autom. Electr. Syst., 1997

Memory-CPU Size Optimization for Embedded System Designs.
Proceedings of the 34st Conference on Design Automation, 1997

1996
Size-Constrained Code Placement for Cache Miss Rate Reduction.
Proceedings of the 9th International Symposium on System Synthesis, 1996

Optimal Code Placement of Embedded Software for Instruction Caches.
Proceedings of the 1996 European Design and Test Conference, 1996


  Loading...