Hiroto Yasuura

Orcid: 0000-0002-8387-5405

According to our database1, Hiroto Yasuura authored at least 112 papers between 1981 and 2017.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2017
ASP-DAC 2017 keynote speech I-3: Design of society: Beyond digital system design.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2012
A Selective Replacement Method for Timing-Error-Predicting flip-Flops.
J. Circuits Syst. Comput., 2012

Guidelines for mitigating NBTI degradation in on-chip memories.
Proceedings of the International Symposium on Communications and Information Technologies, 2012

Neutron-induced soft error rate estimation for SRAM using PHITS.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

WiP Abstract: Estimation of Electric Power Consumption of Individuals by Observing People's Activity.
Proceedings of the 2012 IEEE/ACM Third International Conference on Cyber-Physical Systems, 2012

2011
Password Based Anonymous Authentication with Private Information Retrieval.
J. Digit. Inf. Manag., 2011

Short Term Cell-Flipping Technique for Mitigating SNM Degradation Due to NBTI.
IEICE Trans. Electron., 2011

Multiple-bit-upset and single-bit-upset resilient 8T SRAM bitcell layout with divided wordline structure.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

An Anonymous Authentication Protocol with Single-database PIR.
Proceedings of the Ninth Australasian Information Security Conference, 2011

2010
Code and Data Placement for Embedded Processors with Scratchpad and Cache Memories.
J. Signal Process. Syst., 2010

An RTOS in hardware for energy efficient software-based TCP/IP processing.
Proceedings of the IEEE 8th Symposium on Application Specific Processors, 2010

A Replacement Strategy for Canary Flip-Flops.
Proceedings of the 16th IEEE Pacific Rim International Symposium on Dependable Computing, 2010

Signal probability control for relieving NBTI in SRAM cells.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

An Identifiable Yet Unlinkable Authentication System with Smart Cards for Multiple Services.
Proceedings of the Computational Science and Its Applications, 2010

An Information Platform for Low-Literate Villagers.
Proceedings of the 24th IEEE International Conference on Advanced Information Networking and Applications, 2010

2009
Single-Cycle-Accessible Two-Level Caches and Compilation Technique for Energy Reducion.
IPSJ Trans. Syst. LSI Des. Methodol., 2009

An Optimization Technique for Low-Energy Embedded Memory Systems.
IPSJ Trans. Syst. LSI Des. Methodol., 2009

Enhancements of a Circuit-Level Timing Speculation Technique and Their Evaluations Using a Co-simulation Environment.
IEICE Trans. Electron., 2009

Modeling Costs of Access Control with Various Key Management Systems.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2009

Towards Modeling Stored-value Electronic Money Systems.
Proceedings of the World Congress on Nature & Biologically Inspired Computing, 2009

Large Scale Business-academia Collaboration in Master Education Course.
Proceedings of the CSEDU 2009 - Proceedings of the First International Conference on Computer Supported Education, Lisboa, Portugal, March 23-26, 2009, 2009

Dependable VLSI: device, design and architecture: how should they cooperate?
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
A software technique to improve lifetime of caches containing ultra-leaky SRAM cells caused by within-die V<sub>th</sub> variation.
Microelectron. J., 2008

A Multi-Application Smart Card System with Authentic Post-Issuance Program Modification.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

A Note on Biometrics-based Authentication with Portable Device.
Proceedings of the SECRYPT 2008, 2008

Analysis of Effects of Input Arrival Time Variations on On-Chip Bus Power Consumption.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2008

A Framework of Authentic Post-Issuance Program Modification for Multi-Application Smart Cards.
Proceedings of the 2008 International Conference on Wireless Networks, 2008

Simultaneous optimization of memory configuration and code allocation for low power embedded systems.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

A Secure High-Speed Identification Scheme for RFID Using Bloom Filters.
Proceedings of the The Third International Conference on Availability, 2008

2007
A low complexity and energy efficient dynamic channel allocation algorithm for multiuser OFDM.
Proceedings of the Wireless Telecommunications Symposium, 2007

Unlinkability and Real World Constraints in RFID Systems.
Proceedings of the Fifth Annual IEEE International Conference on Pervasive Computing and Communications, 2007

A Door Access Control System with Mobile Phones.
Proceedings of the Personal Wireless Communications, 2007

Code Placement for Reducing the Energy Consumption of Embedded Processors with Scratchpad and Cache Memories.
Proceedings of the 2007 5th Workshop on Embedded Systems for Real-Time Multimedia, 2007

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
On Authentication between Human and Computer.
Proceedings of the 4th IEEE Conference on Pervasive Computing and Communications Workshops (PerCom 2006 Workshops), 2006

An Energy Characterization Framework for Software-Based Embedded Systems.
Proceedings of the 2006 4th Workshop on Embedded Systems for Real-Time Multimedia, 2006

Systematic Error Detection for RFID Reliability.
Proceedings of the The First International Conference on Availability, 2006

2005
Special Section on Papers Selected from AP-ASIC 2004.
IEICE Trans. Electron., 2005

Bitwidth Optimization for Low Power Digital FIR Filter Design.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005

Quantitative evaluation of unlinkable ID matching schemes.
Proceedings of the 2005 ACM Workshop on Privacy in the Electronic Society, 2005

Toward Unlinkable ID Management for Multi-Service Environments.
Proceedings of the 3rd IEEE Conference on Pervasive Computing and Communications Workshops (PerCom 2005 Workshops), 2005

A variation-aware low-power coding methodology for tightly coupled buses.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

An RFID-based multi-service system for supporting conference events.
Proceedings of the 2005 International Conference on Active Media Technology, 2005

2004
Digitally Named World: Challenges for New Social Infrastructures.
Proceedings of the 5th International Symposium on Quality of Electronic Design (ISQED 2004), 2004

2003
Reduction of coupling effects by optimizing the 3-D configuration of the routing grid.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Routing Methodology for Minimizing Crosstalk in SoC.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

Pre-Route Power Analysis Techniques for SoC.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

Variable Pipeline Depth Processor for Energy Efficient Systems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

Leakage Power Reduction for Battery-Operated Portable Systems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

Routing methodology for minimizing 1nterconnect energy dissipation.
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003

Towards the Digitally Named World -Challenges for New Social Infrastructures based on Information Technologies.
Proceedings of the 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), 2003

A Power Reduction Scheme for Data Buses by Dynamic Detection of Active Bits.
Proceedings of the 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), 2003

Reduction of crosstalk noise by optimizing 3-D configuration of the routing grid.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

Quality-driven design by bitwidth optimization for video applications.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

2002
Quality-Driven Design for Video Applications.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002

Optimization of Test Accesses with a Combined BIST and External Test Scheme.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

A Power Minimization Technique for Arithmetic Circuits by Cell Selection.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Special Session: Security on SoC.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

Data Memory Design Considering Effective Bitwidth for Low-Energy Embedded Systems.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

An Accelerated Datapath Width Optimization Scheme for Area Reduction of Embedded Systems.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

Power analysis techniques for SoC with improved wiring models.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

Reducing access energy of on-chip data memory considering active data bitwidth.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

A low-power digital matched filter for spread-spectrum systems.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

A Design for a Low-Power Digital Matched Filter Applicable to W-CDMA.
Proceedings of the 2002 Euromicro Symposium on Digital Systems Design (DSD 2002), 2002

2001
A High-Performance, Pipelined, FPGA-Based Genetic Algorithm Machine.
Genet. Program. Evolvable Mach., 2001

Software Energy Reduction Techniques for Variable-Voltage Processors.
IEEE Des. Test Comput., 2001

A system-level energy minimization approach using datapath width optimization.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001

2000
Editorial.
Des. Autom. Embed. Syst., 2000

Flexible System LSI for Embedded Systems and Its Optimization Techniques.
Des. Autom. Embed. Syst., 2000

Functional Redundancy for Dynamic Exploitation of Performance-Energy Consumption Trade-Offs.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000

Variable size analysis and validation of computation quality.
Proceedings of the IEEE International High-Level Design Validation and Test Workshop 2000, 2000

An FPGA-based genetic algorithm machine (poster abstract).
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2000

Analysis and Minimization of Test Time in a Combined BIST and External Test Approach.
Proceedings of the 2000 Design, 2000

A Power Reduction Technique with Object Code Merging for Application Specific Embedded Processors.
Proceedings of the 2000 Design, 2000

A Bus Delay Reduction Technique Considering Crosstalk.
Proceedings of the 2000 Design, 2000

One language or more?: how can we design an SoC at a system level?
Proceedings of ASP-DAC 2000, 2000

1999
Real-Time Task Scheduling for a Variable Voltage Processor.
Proceedings of the 12th International Symposium on System Synthesis, 1999

1998
Embedded System Design Using Soft-Core Processor and Valen-C.
J. Inf. Sci. Eng., 1998

A novel test methodology for core-based system LSIs and a testing time minimization problem.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

Statistical Performance-Driven Module Binding in High-Level Synthesis.
Proceedings of the 11th International Symposium on System Synthesis, 1998

Instruction Encoding Techniques for Area Minimization of Instruction ROM.
Proceedings of the 11th International Symposium on System Synthesis, 1998

Voltage scheduling problem for dynamically variable voltage processors.
Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998

Instruction Scheduling for Power Reduction in Processor-Based System Design.
Proceedings of the 1998 Design, 1998

Module Selection Using Manufacturing Information.
Proceedings of the ASP-DAC '98, 1998

Power-Pro: Programmable Power Management Architecture.
Proceedings of the ASP-DAC '98, 1998

1997
Code placement techniques for cache miss rate reduction.
ACM Trans. Design Autom. Electr. Syst., 1997

A High-Performance Hardware Implementation of a Survival-Based Genetic Algorithm.
Proceedings of the Progress in Connectionist-Based Information Systems: Proceedings of the 1997 International Conference on Neural Information Processing and Intelligent Information Systems, 1997

Memory-CPU Size Optimization for Embedded System Designs.
Proceedings of the 34st Conference on Design Automation, 1997

A HW/SW co-design environment for multi-media equipments development using inverse problem.
Proceedings of the Fifth International Workshop on Hardware/Software Codesign, 1997

1996
On the Computational Power of Binary Decision Diagram with Redundant Variables.
Formal Methods Syst. Des., 1996

Size-Constrained Code Placement for Cache Miss Rate Reduction.
Proceedings of the 9th International Symposium on System Synthesis, 1996

Basic experimentation on accuracy of power estimation for CMOS VLSI circuits.
Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996

Optimal Code Placement of Embedded Software for Instruction Caches.
Proceedings of the 1996 European Design and Test Conference, 1996

1995
Foreword.
IEICE Trans. Inf. Syst., 1995

A Proposal for a Co-design Method in Control Systems Using Combination of Models.
IEICE Trans. Inf. Syst., 1995

1994
Design Reuse: Fact or Fiction? (Panel).
Proceedings of the 31st Conference on Design Automation, 1994

1993
A Micro-Vectorprocessor Architecture: Performance Modeling and Benchmarking.
Proceedings of the 7th international conference on Supercomputing, 1993

1992
Design of data-path module generators from algorithmic representations.
Proceedings of the Synthesis for Control Dominated Circuits, 1992

1990
Formal semantics of UDL/I and its applications to CAD/DA tools.
Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1990

Extraction of Functional Information from Combinatorial Circuits.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

NES: The Behavioral Model for the Formal Semantics of a Hardware Design Language UDL/I.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

1989
Semantics of a Hardware Design Language for Japanese Standardization.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989

Locally Computable Coding for Unary Operations.
Proceedings of the Concurrency: Theory, 1989

1987
High-Speed Logic Simulation on Vector Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1987

On high-speed parallel algorithms using redundant coding.
Syst. Comput. Jpn., 1987

1985
High-Speed VLSI Multiplication Algorithm with a Redundant Binary Addition Tree.
IEEE Trans. Computers, 1985

1984
Hardware Algorithms for VLSI Systems.
Proceedings of the VLSI Engineering: Beyond Software Engineering, 1984

On Parallel Computational Complexity of Unification.
Proceedings of the International Conference on Fifth Generation Computer Systems, 1984

1982
The Parallel Enumeration Sorting Scheme for VLSI.
IEEE Trans. Computers, 1982

Hardware Algorithms and Logic Design Automation. An Overview and Progress Report.
Proceedings of the RIMS Symposium on Software Science and Engineering, 1982

An Interactive Simulation System for structured logic design - ISS.
Proceedings of the 19th Design Automation Conference, 1982

1981
Width and Depth of Combinational Logic Circuits.
Inf. Process. Lett., 1981


  Loading...