Tzi-Dar Chiueh

Orcid: 0000-0003-0851-6629

According to our database1, Tzi-Dar Chiueh authored at least 117 papers between 1987 and 2024.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 2013, "For contributions to baseband processing integrated circuits for communications systems".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Design and Implementation of a 5G NR Transmitter With Wi-Fi Coexistence by Beamforming and Power Control.
IEEE Open J. Commun. Soc., 2024

2023
Grant-Free Sparse Code Multiple Access for Uplink Massive Machine-Type Communications and Its Real-Time Receiver Design.
IEEE Open J. Circuits Syst., 2023

DMPACT: A Data Detection Solution for NOMA-Based Uplink Cell-Free MIMO Networks.
Proceedings of the IEEE Globecom Workshops 2023, 2023

A Multi-Overloading-Factor Sparse Code Multiple Access Decoder for 5G Communications.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

2021
A High-Throughput FPGA Accelerator for Short-Read Mapping of the Whole Human Genome.
IEEE Trans. Parallel Distributed Syst., 2021

Sequence Design and User Activity Detection for Uplink Grant-Free NOMA in mMTC Networks.
IEEE Open J. Commun. Soc., 2021

A Multiplier-Less Convolutional Neural Network Inference Accelerator for Intelligent Edge Devices.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021

Batch Normalization Processor Design for Convolution Neural Network Training and Inference.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A 1.625 TOPS/W SOC for Deep CNN Training and Inference in 28nm CMOS.
Proceedings of the 51st IEEE European Solid-State Device Research Conference, 2021

2020
Low-Complexity LSTM Training and Inference with FloatSD8 Weight Representation.
CoRR, 2020

Design and Implementation of Time-Domain Interference Cancellation Receiver for LTE-U Systems.
IEEE Access, 2020

A Novel Minimum Variance Beamformer and Its Circuit Design for Ultrasound Beamforming.
Proceedings of the 2020 International Symposium on VLSI Design, Automation and Test, 2020

Design of an 45nm NCFET Based Compute-in-SRAM for Energy-Efficient Machine Learning Applications.
Proceedings of the 2020 IEEE Asia Pacific Conference on Circuits and Systems, 2020

2019
Interference aware coordinated multiuser access in multi-band WLAN for next generation low power applications.
Wirel. Networks, 2019

An Ultra-Low-Power Dual-Mode Automatic Sleep Staging Processor Using Neural-Network-Based Decision Tree.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

FloatSD: A New Weight Representation and Associated Update Method for Efficient Convolutional Neural Network Training.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2019

Adaptive MIMO Detector Using Reduced Search Space and Its Error Rate Estimator in Ultra Dense Network.
IEEE Access, 2019

A Flexible and High-Performance Self-Organizing Feature Map Training Acceleration Circuit and Its Applications.
Proceedings of the IEEE International Conference on Artificial Intelligence Circuits and Systems, 2019

2018
Implementation of a C-V2X Receiver on an Over-the-Air Software-Defined-Radio Platform with OpenCL.
Proceedings of the 2018 New Generation of CAS, 2018

Software Defined Radio Implementation of an LTE Downlink Transceiver for Ultra Dense Networks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

2017
Turbo Receiver With Dual-Loop Dual-List Update for Inter-Cell Interference Mitigation in Heterogeneous Networks.
IEEE Trans. Wirel. Commun., 2017

An energy-efficient communication system using joint beamforming in multi-hop health monitoring sensor networks.
EURASIP J. Wirel. Commun. Netw., 2017

2016
Limited search sphere decoder and adaptive detector for NOMA with SU-MIMO.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

2015
Efficient Active Precoder Identification for Receivers With Inter-Cell Interference in Heterogeneous Networks.
IEEE Trans. Wirel. Commun., 2015

Power control and beamforming design for receivers with inter-cell interference cancellation in heterogeneous networks.
Proceedings of the 24th Wireless and Optical Communication Conference, 2015

An implementation of a fountain code-based MIMO-OFDM receiver for real-time wireless video streaming.
Proceedings of the 11th IEEE International Conference on Wireless and Mobile Computing, 2015

An energy-efficient resilient flip-flop circuit with built-in timing-error detection and correction.
Proceedings of the VLSI Design, Automation and Test, 2015

Channel-aware local search (CA-LS) for iterative MIMO detection.
Proceedings of the 26th IEEE Annual International Symposium on Personal, 2015

A-NFC: Two-way near-field communications (NFC) via inaudible acoustics.
Proceedings of the 6th International Conference on Information, 2015

2014
Low-Complexity Decoding for RaptorQ Codes Using a Recursive Matrix Inversion Formula.
IEEE Wirel. Commun. Lett., 2014

Efficient Sequential Integer CFO and Sector Identity Detection for LTE Cell Search.
IEEE Wirel. Commun. Lett., 2014

What is a good way to expand a silicon value to a solution value?
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

2013
Turbo Receiver with ICI-Aware Dual-List Detection for Mobile MIMO-OFDM Systems.
IEEE Trans. Wirel. Commun., 2013

Active precoder identification for inter-cell interference mitigation in heterogeneous networks.
Proceedings of the 24th IEEE Annual International Symposium on Personal, 2013

2012
Low-Complexity Cell Search Algorithm for Interleaved Concatenation ML-Sequences in 3GPP-LTE Systems.
IEEE Wirel. Commun. Lett., 2012

Channel-Adaptive MIMO Detection Based on the Multiple-Choice Knapsack Problem (MCKP).
IEEE Wirel. Commun. Lett., 2012

Asymptotic Coded BER Analysis for MIMO BICM-ID with Quantized Extrinsic LLR.
IEEE Trans. Commun., 2012

Design of a real-time software-based GPS baseband receiver using GPU acceleration.
Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 2012

2011
Efficient Channel-Adaptive MIMO Detection Using Just-Acceptable Error Rate.
IEEE Trans. Wirel. Commun., 2011

Baseband signal processing in SDR.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

A MIMO WiMAX SoC in 90nm CMOS for 300km/h mobility.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

Asymptotic BER Analysis for MIMO-BICM with MMSE Detection and Channel Estimation.
Proceedings of IEEE International Conference on Communications, 2011

2010
A 74.8 mW Soft-Output Detector IC for 8 , ˟, 8 Spatial-Multiplexing MIMO Communications.
IEEE J. Solid State Circuits, 2010

BER analysis for MIMO BICM-ID assuming finite precision of extrinsic LLR.
Proceedings of the International Symposium on Information Theory and its Applications, 2010

Cross-layer optimization for wireless streaming via adaptive MIMO OFDM.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Iterative Receiver for Mobile MIMO-OFDM Systems Using ICI-Aware List-Update MIMO Detection.
Proceedings of IEEE International Conference on Communications, 2010

2009
Adaptive raised-cosine channel interpolation for pilot-aided OFDM systems.
IEEE Trans. Wirel. Commun., 2009

A Low-Power Delay Buffer Using Gated Driver Tree.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Low-Complexity Channel-Adaptive MIMO Detection with Just-Acceptable Error Rate.
Proceedings of the 69th IEEE Vehicular Technology Conference, 2009

Combining orthogonalized partial metrics: Efficient enumeration for soft-input sphere decoder.
Proceedings of the IEEE 20th International Symposium on Personal, 2009

Low-complexity Adaptive Channel Estimation for OFDM System in Fast-fading Channel.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Searching in the Delta Lattice: An Efficient MIMO Detection for Iterative Receivers.
Proceedings of the Global Communications Conference, 2009. GLOBECOM 2009, Honolulu, Hawaii, USA, 30 November, 2009

2008
Tight Approximation of the Bit Error Rate for BICM(-ID) Assuming Imperfect CSI.
IEEE Trans. Wirel. Commun., 2008

A Cognitive Radio System Using Discrete Wavelet Multitone Modulation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

IQ imbalance and phase noise mitigation for wireless OFDM systems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Asymptotic BER Analysis for MIMO-BICM with Zero-Forcing Detectors Assuming Imperfect CSI.
Proceedings of IEEE International Conference on Communications, 2008

An O(qlogq) log-domain decoder for non-binary LDPC over GF(q).
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

An IP generator for quasi-cyclic LDPC convolutional code decoders.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

2007
A Low-Power Multicarrier-CDMA Downlink Baseband Receiver for Future Cellular Communication Systems.
IEEE Trans. Circuits Syst. I Regul. Pap., 2007

Design of a downlink baseband receiver for IEEE 802.16E OFDMA mode in high mobility.
Proceedings of the 2007 IEEE International SOC Conference, 2007

Baseband Signal Processing.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

A 1.8V 165mW Discrete Wavelet Multi-Tone Baseband Receiver for Cognitive Radio Applications.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

A Real-Time Digital Baseband MIMO Channel Emulation System.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

A Novel Low-Complexity Rayleigh Fader for Real-Time Channel Modeling.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

A Low-Complexity High-Performance Modulation Code for Holographic Data Storage.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

2006
A Low-Power Digit-Based Reconfigurable FIR Filter.
IEEE Trans. Circuits Syst. II Express Briefs, 2006

Design of a MIMO OFDM baseband transceiver for cognitive radio system.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

One-dimensional interpolation based channel estimation for mobile DVB-H reception.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Design of a MIMO-OFDM baseband receiver for next-generation wireless LAN.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Design of a low power mixed-signal RAKE receiver.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A Real-Time Digital Baseband Channel Emulation System for OFDM Communications.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006

2005
Joint weighted least-squares estimation of carrier-frequency offset and timing offset for OFDM systems over multipath fading channels.
IEEE Trans. Veh. Technol., 2005

A 1600-MIPS parallel processor IC for job-shop scheduling.
IEEE Trans. Ind. Electron., 2005

Grouped signed power-of-two algorithms for low-complexity adaptive equalization.
IEEE Trans. Circuits Syst. II Express Briefs, 2005

Design of a Baseband Transceiver for Multicarrier CDMA Communications.
EURASIP J. Adv. Signal Process., 2005

Low-complexity adaptive algorithms for pre-distortion of power amplifiers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

2004
A 123-mW W-CDMA uplink baseband receiver IC with beamforming capability.
IEEE J. Solid State Circuits, 2004

A 1.1 G MAC/s sub-word-parallel digital signal processor for wireless communication applications.
IEEE J. Solid State Circuits, 2004

Low complexity frequency-domain despreading for cyclic-prefix CDMA systems.
IEEE Commun. Lett., 2004

Boundary Scan for 5-GHz RF Pins Using LC Isolation Networks.
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004

Design of a low-complexity receiver for impulse-radio ultra-wideband communication systems.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

2003
Algorithm and architecture design for a low-complexity adaptive equalizer.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Design and implementation of a reconfigurable FIR filter.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

2002
An analog motion field detection chip for image segmentation.
IEEE Trans. Circuits Syst. Video Technol., 2002

A new audio coding scheme using a forward masking model and perceptually weighted vector quantization.
IEEE Trans. Speech Audio Process., 2002

Design and Implementation of an Uplink Baseband Receiver for Wideband CDMA Communications.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002

<i>IETQ</i>: An Incrementally Extensible Twisted Cube.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002

2001
A low-power digital matched filter for direct-sequence spread-spectrum signal acquisition.
IEEE J. Solid State Circuits, 2001

Trellis-coded complementary code keying for high-rate wireless LAN systems.
IEEE Commun. Lett., 2001

Design of an OFDM receiver for high-speed wireless LAN.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

SIMD architecture for job shop scheduling problem solving.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

2000
A parametric module design framework and its application to gate-level datapath/DSP module synthesis.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

1999
Active cancellation system of acoustic noise in MR imaging.
IEEE Trans. Biomed. Eng., 1999

A new forward masking model and its application to perceptual audio coding.
Proceedings of the 1999 IEEE International Conference on Acoustics, 1999

1997
A 2.6-V, 44-MHz all-digital QPSK direct-sequence spread-spectrum transceiver IC [wireless LANs].
IEEE J. Solid State Circuits, 1997

Implementation of a Masking Network for Speech Perception.
Proceedings of the Artificial Neural Networks, 1997

1996
Scalable implementation scheme for multirate FIR filters and its application in efficient design of subband filter banks.
IEEE Trans. Circuits Syst. Video Technol., 1996

Design and implementation of an all-digital QPSK direct-sequence spread-spectrum transceiver IC.
Proceedings of the 7th IEEE International Symposium on Personal, 1996

1995
Pipeline interleaving design for FIR, IIR, and FFT array processors.
J. VLSI Signal Process., 1995

High throughput CORDIC-based systolic array design for the discrete cosine transform.
IEEE Trans. Circuits Syst. Video Technol., 1995

A new block-matching criterion for motion estimation and its implementation.
IEEE Trans. Circuits Syst. Video Technol., 1995

1994
Parallel architectures for 3-step hierarchical search block-matching algorithm.
IEEE Trans. Circuits Syst. Video Technol., 1994

Accuracy improvement and cost reduction of 3-step search block matching algorithm for video coding.
IEEE Trans. Circuits Syst. Video Technol., 1994

One-dimensional full search motion estimation algorithm for video coding.
IEEE Trans. Circuits Syst. Video Technol., 1994

Vector quantization using tree-structured self-organizing feature maps.
IEEE J. Sel. Areas Commun., 1994

Tree-Structure Architecture and VLSI Implementation for Vector Quantization Algorithms.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

Parallel Architectures of 3-Step Search Block-Matching Algorithm for Video Coding.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

1993
An efficient and simple VLSI tree architecture for motion estimation algorithms.
IEEE Trans. Signal Process., 1993

Multivalued associative memories based on recurrent networks.
IEEE Trans. Neural Networks, 1993

Novel Systolic Array Design for the Discrete Hartley Transform with High Throughput Rate.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

1991
Recurrent correlation associative memories.
IEEE Trans. Neural Networks, 1991

An efficient parallel motion estimation algorithm for digital image processing.
IEEE Trans. Circuits Syst. Video Technol., 1991

A Predictive Parallel Motion Estimation Algorithm for Digital Image Processing.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

1989
VLSI Implementation of a High-Capacity Neural Network Associative Memory.
Proceedings of the Advances in Neural Information Processing Systems 2, 1989

1988
Learning algorithms for neural networks with ternary weights.
Neural Networks, 1988

High-capacity exponential associative memories.
Proceedings of International Conference on Neural Networks (ICNN'88), 1988

1987
A Neural Network Classifier Based on Coding Theory.
Proceedings of the Neural Information Processing Systems, Denver, Colorado, USA, 1987, 1987


  Loading...