Yann Deval

Orcid: 0000-0002-7358-8904

According to our database1, Yann Deval authored at least 106 papers between 1997 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
A 0.65-1.5GHz Wideband Power Amplifier With Second Harmonic Control Proof-of-Concept for 5G Applications.
Proceedings of the 15th IEEE Latin America Symposium on Circuits and Systems, 2024

2023
A Proof-of-Concept of a Multiple-Cell Upsets Detection Method for SRAMs in Space Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023

A Block-Based LMS Using the Walsh Transform for Digital Predistortion of Power Amplifiers.
IEEE Trans. Commun., October, 2023

A (0.75-1.13) mW and (2.4-5.2) ps RMS Jitter Integer-N-Based Dual-Loop PLL for Indoor and Outdoor Positioning in 28-nm FD-SOI CMOS Technology.
IEEE Trans. Circuits Syst. II Express Briefs, October, 2023

A Walsh-Based Arbitrary Waveform Generator for 5G Applications in 28nm FD-SOI CMOS Technology.
IEEE Access, 2023

A 2.45GHz SiGe Power Amplifier with a Novel Digital Predistortion using Orthogonal Sequences.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

High-Resolution Fractional Digital Frequency Divider using a Binary-Rate Multiplier.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

A Wide-Band High-Speed Sample and Hold in 0.35µm CMOS Technology.
Proceedings of the 14th IEEE Latin America Symposium on Circuits and System, 2023

A MCU-robust Interleaved Data/Detection SRAM for Space Environments.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2023

A Tool for Automatic Radiation-Hardened SRAM Layout Generation.
Proceedings of the 30th IEEE International Conference on Electronics, Circuits and Systems, 2023

0.45-mW 2.35-3.0 GHz Multiplying DLL with Calibration Loop in 28nm CMOS FD-SOI.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

2022
Low Power Frequency Dividers using TSPC logic in 28nm FDSOI Technology.
Proceedings of the 13th IEEE Latin America Symposium on Circuits and System, 2022

Highly Linear Large Signal Compact Voltage-to-Current Converter in 28 nm FD-SOI Technology.
Proceedings of the 13th IEEE Latin America Symposium on Circuits and System, 2022

A PAE-Controlled Wideband Power Amplifier for Sub-6GHz 5G Applications in 28nm FDSOI Technology.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

A 40 GHz Varactor-less Class-C VCO with 17.1% Tuning Range and Long-Term Reliability in 28nm FD-SOI for Satellite Communications.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

2021
28nm FDSOI Ultra Low Power 1.5-2.0 GHz Factorial-DLL Frequency Synthesizer.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A Low-Noise mm-Wave Injection-Locked Oscillator designed in 65nm Partially Depleted SOI CMOS Technology.
Proceedings of the 19th IEEE International New Circuits and Systems Conference, 2021

Design methodology for 112Gb/s PAM4 Wireline ADC-Based Receivers.
Proceedings of the 12th IEEE Latin America Symposium on Circuits and System, 2021

Optimized body-biasing calibration methodology for high-speed comparators in 22nm FDX.
Proceedings of the 12th IEEE Latin America Symposium on Circuits and System, 2021

Demonstration of a Walsh-based Arbitrary Waveform Generator using Components Off-The-Shelf.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

Investigation of 0.18μm CMOS Sensitivity to BTI and HCI Mechanisms under Extreme Thermal Stress Conditions.
Proceedings of the 30th IEEE Asian Test Symposium, 2021

2020
Low-Power High-Speed ADCs for ADC-Based Wireline Receivers in 22 nm FDSOI.
Proceedings of the VLSI-SoC: Design Trends, 2020

A 0.8V 875 MS/s 7b low-power SAR ADC for ADC-Based Wireline Receivers in 22nm FDSOI.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020

Ring VCO Phase Noise Optimization by Pseudo-Differential Architecture in 28nm FD-SOI CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

2019
A Hierarchical Track and Hold Circuit for High Speed ADC-Based Receivers in 22nm FDSOI.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

Design of CMOS integrated circuits for radiation hardening and its application to space electronics.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

2018
Experimental Demonstration of a 65 nm Integrated CMOS Waveform Generator for 5G sub-6GHz Standard.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

2017
A radio-frequency real-time spectrum sensor based on an analog signal processing magnitude calculator.
Proceedings of the 30th Symposium on Integrated Circuits and Systems Design: Chip on the Sands, 2017

2016
A 65-nm CMOS DAC Based on a Differentiating Arbitrary Waveform Generator Architecture for 5G Handset Transmitter.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

An ultra wide band analog-to-digital converter based on a Delta-Riemann architecture.
Proceedings of the 29th Symposium on Integrated Circuits and Systems Design, 2016

A balanced logic routing block for Factorial-DLL based Frequency Generation.
Proceedings of the 29th Symposium on Integrated Circuits and Systems Design, 2016

A concurrent transmitter in CMOS 28nm FDSOI technology based on Walsh sequences generator.
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016

Characterization of Ultrasonic Wave Propagation for Intra-Body Communication.
Proceedings of the Intelligent Environments 2016, 2016

Experimental demonstration of a Riemann Pump RF-DAC in 65 nm CMOS.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

2015
RFIC design by mathematics for next generation wireless access.
Proceedings of the ESSCIRC Conference 2015, 2015

Toward 5 G: An integrated CMOS wide band arbitrary waveform generator for carrier aggregation.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
Introduction to the Special Issue on the 39th European Solid-State Circuits Conference (ESSCIRC).
IEEE J. Solid State Circuits, 2014

SiGe Clock and Data Recovery System Based on Injection-Locked Oscillator for 100 Gbit/s Serial Data Link.
IEEE J. Solid State Circuits, 2014

Design of High Sensitivity Radiofrequency Energy Harvesters Dedicated to Low-Power Applications.
J. Low Power Electron., 2014

The P/DLL frequency synthesizer architecture: A native trade-off between stability and wideband frequency generation.
Proceedings of the IEEE 12th International New Circuits and Systems Conference, 2014

The Riemann pump: A concurrent transmitter in GaN technology.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

A mmW low power VCO with high tuning range in 28nm FDSOI CMOS technology.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

Intra-body communications - Radio-frequency versus ultrasonic.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

Design methodology for low power RF LNA based on the figure of merit and the inversion coefficient.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

2013
Design methodology for ultra low-power analog circuits using next generation BSIM6 MOSFET compact model.
Microelectron. J., 2013

Design of a TID-tolerant low-level offset operational amplifier.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Wide-band rejection of interfering signals.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

Full Software Radio transceivers.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
Design comparison of low-power rectifiers dedicated to RF energy harvesting.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

2011
An Optimum Body Biasing for Gain and Linearity Control in CMOS Low-Noise Amplifiers.
J. Low Power Electron., 2011

A new frequency synthesizers stabilization method based on a mixed Phase Locked Loop and Delay Locked Loop architecture.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A 2.4GHz ultra-low power current-reuse bleeding mixer with resistive feedback.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011

A low-power 2 GHz discrete time weighting system dedicated to Sampled Analog Signal Processing.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011

Micro-watt building blocks for biomedical RF tranceivers.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

2010
The Experimental Demonstration of a SASP-Based Full Software Radio Receiver.
IEEE J. Solid State Circuits, 2010

Fault Coverage on RF VCOs and BIST for Wafer Sort Using Peak-to-Peak Voltage Detectors.
J. Electron. Test., 2010

Reconfigurable Ultra Low Power LNA for 2.4GHz Wireless Sensor Networks.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

A random-based fractional-N frequency divider for spurious tones cancellation.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

On the receiver system feasibility for mobile DVB - S applications in the Ku - band (10.7 - 12.75 GHz).
Proceedings of the 17th IEEE International Conference on Electronics, 2010

A fully integrated 4GHz continuous-time bandpass Delta-Sigma converter.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

Low power and high gain double-balanced mixer dedicated to 77 GHz automotive radar applications.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

2009
Voltage controlled delay line with phase quadrature outputs for [0.9-4] GHz F-DLL dedicated to zero-IF multi-standard LO.
Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, 2009

A novel delta sigma built-in-current-sensor as a signal strength indicator for RF transceiver reconfiguration.
Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, 2009

BIST scheme for RF VCOs allowing the self-correction of the cut.
Proceedings of the 2009 IEEE International Test Conference, 2009

Millimeter-Waves building block design methodology in BiCMOS technology.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

A dual mode 2.4-GHz CMOS low noise amplifier employing body biasing.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

2008
A Disruptive Receiver Architecture Dedicated to Software-Defined Radio.
IEEE Trans. Circuits Syst. II Express Briefs, 2008

Design of a 0.9 V 2.45 GHz Self-Testable and Reliability-Enhanced CMOS LNA.
IEEE J. Solid State Circuits, 2008

Design of Class-E power VCO in 65nm CMOS technology: Application to RF transmitter architecture.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Track and hold circuit design and implementation in 65 nm CMOS technology for RF subsampling receivers.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

79GHz Push-Push oscillators in 0.13μm SiGe BiCMOS technology.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

A 65-nm CMOS 8-GHz injection locked oscillator for HDR UWB applications.
Proceedings of the ESSCIRC 2008, 2008

Current reuse CMOS LNA for UWB applications.
Proceedings of the ESSCIRC 2008, 2008

2007
A Robust 130 nm-CMOS Built-In Current Sensor Dedicated to RF Applications.
J. Electron. Test., 2007

High-speed CMOS analog-to-digital converter for front-end receiver applications.
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007

A Novel LNA Topology with Transformer-based Input Integrated Matching and its 60-GHz Millimeter-wave CMOS 65-nm Design.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

A 10-Gb/s CMOS fully integrated ILO-based CDR.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

2006
RF CMOS body-effect circuits.
Microelectron. J., 2006

4GHz continuous-time bandpass delta-sigma modulator for directly high IF A/D conversion.
Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, 2006

Phase locked loop robustness improvement using non integer order loop filter.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A 4mA, 0.25 SiGe, 23GHz BiFET Low Noise Amplifier.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

Reconfiguration of Bulk Acoustic Wave Filters: Application to WLAN 802.11b/g (2.40-2.48 GHz).
Proceedings of the 13th IEEE International Conference on Electronics, 2006

Intermediate Frequency Lamb Wave Resonators and Filters for RF Receiver Architectures.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

Design of a SiGe Reconfigurable Power Amplifier for RF Applications: Device and Multi-standard Considerations.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

A Low-Power and Low Silicon Area Testable CMOS LNA Dedicated to 802.15.4 Sensor Network Applications.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

A Robust 130nm-CMOS Built-In Current Sensor Dedicated to RF Applications.
Proceedings of the 11th European Test Symposium, 2006

2005
A 1 V 270 My-W 2 GHz CMOS Synchronized Ring Oscillator Based Prescaler.
J. Low Power Electron., 2005

The using of complex mixers in order to achieve RF frequency synthesis.
Proceedings of the 12th IEEE International Conference on Electronics, 2005

A study on FBAR Filters reconfiguration.
Proceedings of the 12th IEEE International Conference on Electronics, 2005

GMSK modulation of subharmonic injection locked oscillators.
Proceedings of the 31st European Solid-State Circuits Conference, 2005

2004
A 4 Gsps, 2-4 GHz input bandwidth, 3-bits flash A/D converter.
Proceedings of the 2004 11th IEEE International Conference on Electronics, 2004

2003
A novel DC-cancellation architecture for direct conversion receivers.
Proceedings of the 2003 10th IEEE International Conference on Electronics, 2003

A 0.9V body effect feedback 2 GHz low noise amplifier.
Proceedings of the ESSCIRC 2003, 2003

2002
A VLSI CMOS delay oriented waveform converter for polyphase frequency synthesizer.
IEEE J. Solid State Circuits, 2002

A 4 Gsamples/S with 2-4 GHz Input Bandwidth SIGE Digitizer for Radio Astronomy Applications.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002

A SiGe 4-Gsps 2-bits digitizer with 2-4 GHz input bandwidth.
Proceedings of the 2002 9th IEEE International Conference on Electronics, 2002

2001
Study and behavioural simulation of phase noise and jitter in oscillators.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

A New Laser System for X-Rays Flashes Sensitivity Evaluation.
Proceedings of the 7th IEEE International On-Line Testing Workshop (IOLTW 2001), 2001

Phase error determination in GMSK modulated fractional-N PLL.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

A CMOS VLSI delay oriented waveform converter dedicated to the synthesizer of an UMTS transceiver.
Proceedings of the IEEE 2001 Custom Integrated Circuits Conference, 2001

Clock generator using factorial DLL for video applications.
Proceedings of the IEEE 2001 Custom Integrated Circuits Conference, 2001

2000
Toward Analog Circuit Synthesis: A Global Methodology Based upon Design of Experiments.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000

An Improved CMOS BICS for On-Line Testing.
Proceedings of the 6th IEEE International On-Line Testing Workshop (IOLTW 2000), 2000

Delay oriented design methodology: application to the design of a VHF low power VLSI polyphase oscillator.
Proceedings of the 2000 7th IEEE International Conference on Electronics, 2000

1999
A New Industrial Approach Compatible With Microelectronics Education: Application to an RF System Design.
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 1999

1997
Design, integration and characterization of analog integrated circuits: a complete design flow dedicated to microelectronics education.
Proceedings of the 1997 IEEE International Conference on Microelectronic Systems Education, 1997


  Loading...