Christian C. Enz

Orcid: 0000-0002-9968-5278

Affiliations:
  • Swiss Federal Institute of Technology in Lausanne (EPFL), Switzerland
  • Swiss Center for Electronics and Microtechnology (CSEM), Neuchtel, Switzerland


According to our database1, Christian C. Enz authored at least 160 papers between 1995 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Novel Approach to FDSOI Threshold Voltage Model Validated at Cryogenic Temperatures.
IEEE Access, 2023

Design of Low-power Analog Circuits in Advanced Technology Nodes using the $G_{m}/I_{D}$ Approach.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

The Fano Noise Suppression Factor and the $G_{m}/I_{D}$ FoM.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Design of Cryo-CMOS Analog Circuits using the $G_{m}/I_{D}$ Approach.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

2022
SEKV-E: Parameter Extractor of Simplified EKV I-V Model for Low-Power Analog Circuits.
IEEE Open J. Circuits Syst., 2022

Optimized Detection of Hypoglycemic Glucose Ranges in Human Serum by Raman Spectroscopy with 532 nm Laser Excitation.
Proceedings of the 10th International Conference on Photonics, Optics and Laser Technology, 2022

Comprehensive Design-oriented FDSOI EKV Model.
Proceedings of the 29th International Conference on Mixed Design of Integrated Circuits and System, 2022

Rapid, Sensitive and Selective Optical Glucose Sensing with Stimulated Raman Scattering (SRS).
Proceedings of the IEEE International Symposium on Medical Measurements and Applications, 2022

An ASIC Interface for CMUTs-based Biosensors with High Voltage Boosting and Oscillator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Simple Expression of the Thermal Noise Excess Factor for LNA Design.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Cryogenic RF Characterization and Simple Modeling of a 22 nm FDSOI Technology.
Proceedings of the 52nd IEEE European Solid-State Device Research Conference, 2022

2021
Nanowatt Acoustic Inference Sensing Exploiting Nonlinear Analog Feature Extraction.
IEEE J. Solid State Circuits, 2021

Modulation Scheme Impact on Phase Noise in FMCW Radar for Short-Range Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Cryogenic Characterization of 16 nm FinFET Technology for Quantum Computing.
Proceedings of the 51st IEEE European Solid-State Device Research Conference, 2021

An Optimized Low-Power Band-Tuning TX for Short-Range FMCW Radar in 22-nm FDSOI CMOS.
Proceedings of the 47th ESSCIRC 2021, 2021

A 60 GHz QDCO with 11 GHz Seamless Tuning for Low-Power FMCW Radars in 22-nm FDSOI.
Proceedings of the 47th ESSCIRC 2021, 2021

2020
Experimental Verification of the Impact of Analog CMS on CIS Readout Noise.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

Microfluidics by Additive Manufacturing for Wearable Biosensors: A Review.
Sensors, 2020

A 49 μW 6th-Order Chebyshev SSF-Based Low-Pass Analog Filter for IEEE 802.11ax.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Design and Optimization of Low Power and Low Light Sensor: (Invited).
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

2019
Charge-Based Distortion Analysis of Nanoscale MOSFETs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A 2.6 µW Monolithic CMOS Photoplethysmographic (PPG) Sensor Operating With 2 µW LED Power for Continuous Health Monitoring.
IEEE Trans. Biomed. Circuits Syst., 2019

A 4-GHz Low-Power, Multi-User Approximate Zero-IF FM-UWB Transceiver for IoT.
IEEE J. Solid State Circuits, 2019

Review and Benchmarking of Precision-Scalable Multiply-Accumulate Unit Architectures for Embedded Neural-Network Processing.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2019

A 2.6μW Monolithic CMOS Photoplethysmographic Sensor Operating with 2μW LED Power.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019


Cryogenic MOSFET Threshold Voltage Model.
Proceedings of the 49th European Solid-State Device Research Conference, 2019

Ultra-Low-Power Intelligent Acoustic Sensing using Cochlea-Inspired Feature Extraction and DNN Classification.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

Survey of Precision-Scalable Multiply-Accumulate Units for Neural-Network Processing.
Proceedings of the IEEE International Conference on Artificial Intelligence Circuits and Systems, 2019

2018
1GigaRad TID impact on 28 nm HEP analog circuits.
Integr., 2018

Design of Approximate Circuits by Fabrication of False Timing Paths: The Carry Cut-Back Adder.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

Hardware Acceleration of HDR-Image Tone Mapping on an FPGA-CPU Platform Through High-Level Synthesis.
Proceedings of the 31st IEEE International System-on-Chip Conference, 2018

An Accurate kTC Noise Analysis of CDS Circuits.
Proceedings of the 16th IEEE International New Circuits and Systems Conference, 2018

A 100kb/s, 4 GHz, 267 μW fully integrated low power FM-UWB transceiver with multiple channels.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

2017
Design and Applications of Approximate Circuits by Gate-Level Pruning.
IEEE Trans. Very Large Scale Integr. Syst., 2017

FM-UWB: Towards a Robust, Low-Power Radio for Body Area Networks.
Sensors, 2017

A study on the energy-precision tradeoffs on commercially available processors and SoCs with an EPI based energy model.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

Multi-modal Sensory Feedback System for Upper Limb Amputees.
Proceedings of the New Generation of CAS, 2017

Approximate FPGA Implementation of CORDIC for Tactile Data Processing Using Speculative Adders.
Proceedings of the New Generation of CAS, 2017

Analysis of power consumption in LC oscillators based on the inversion coefficient.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Analysis of CMS noise reduction for 65 nm CIS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Total ionizing dose effects on analog performance of 28 nm bulk MOSFETs.
Proceedings of the 47th European Solid-State Device Research Conference, 2017

Negative capacitance field effect transistors; capacitance matching and non-hysteretic operation.
Proceedings of the 47th European Solid-State Device Research Conference, 2017

Cryogenic characterization of 28 nm bulk CMOS technology for quantum computing.
Proceedings of the 47th European Solid-State Device Research Conference, 2017

Combining structural and timing errors in overclocked inexact speculative adders.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Efficient through-waveguide wireless power transfer for body area networks.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

2016
Noise Reduction Techniques and Scaling Effects towards Photon Counting CMOS Image Sensors.
Sensors, 2016

A Low-Noise CMOS THz Imager Based on Source Modulation and an In-Pixel High-Q Passive Switched-Capacitor N-Path Filter.
Sensors, 2016

A Sub-0.5 Electron Read Noise VGA Image Sensor in a Standard CMOS Process.
IEEE J. Solid State Circuits, 2016

Design of ultra low-power RF oscillators based on the inversion coefficient methodology using BSIM6 model.
Int. J. Circuit Theory Appl., 2016

Overcoming the power wall by exploiting inexactness and emerging COTS architectural features: Trading precision for improving application quality.
Proceedings of the 29th IEEE International System-on-Chip Conference, 2016

Nanoscale MOSFET modeling for the design of low-power analog and RF circuits.
Proceedings of the 2016 MIXDES, 2016

Design of energy-efficient discrete cosine transform using pruned arithmetic circuits.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Ultra low-power MEMS based radios for the IoT.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Sub-electron CIS noise analysis in 65 nm process.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Impact of GigaRad Ionizing Dose on 28 nm bulk MOSFETs for future HL-LHC.
Proceedings of the 46th European Solid-State Device Research Conference, 2016

A 51.4 Mb/s FSK transmitter employing a Phase Domain Digital Synthesizer with 1.5 µs start-up for energy efficient duty cycling.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

Approximate 32-bit floating-point unit design with 53% power-area product reduction.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

A low-power <i>carry cut-back</i> approximate adder with fixed-point implementation and floating-point precision.
Proceedings of the 53rd Annual Design Automation Conference, 2016

EMG pattern recognition using decomposition techniques for constructing multiclass classifiers.
Proceedings of the 6th IEEE International Conference on Biomedical Robotics and Biomechatronics, 2016

Experiment and investigation of two types of vibrotactile devices.
Proceedings of the 6th IEEE International Conference on Biomedical Robotics and Biomechatronics, 2016

2015
Energy-efficient digital design through inexact and approximate arithmetic circuits.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

A new method for kTC noise analysis in periodic passive switched-capacitor networks.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

Near/Sub-Threshold Circuits and Approximate Computing: The Perfect Combination for Ultra-Low-Power Systems.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Enabling highly energy efficient WSN through PLL-free, fast wakeup radios.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Automatic generation of inexact digital circuits by gate-level pruning.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A 2.4-GHz low complexity polar transmitter using dynamic biasing for IEEE 802.15.6.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Energy-efficient inexact speculative adder with high performance and accuracy control.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A ΣΔ based direct all-digital frequency synthesizer with 20 Mbps frequency modulation capability and 3μs startup latency.
Proceedings of the ESSCIRC Conference 2015, 2015

Low-power analog/RF circuit design based on the inversion coefficient.
Proceedings of the ESSCIRC Conference 2015, 2015

Designing inexact systems efficiently using elimination heuristics.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Tactile prosthetics in WiseSkin.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Opportunities for energy efficient computing: a study of inexact general purpose processors for high-performance and big-data applications.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Automatic hand phantom map detection methods.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

2014
Accurate RF modeling of nanoscale MOSFET using BSIM6 including low levels of inversion.
Microelectron. J., 2014

Design optimization for low light CMOS image sensors readout chain.
Proceedings of the IEEE 12th International New Circuits and Systems Conference, 2014

The WiseSkin artificial skin for tactile prosthetics: A power budget investigation.
Proceedings of the 8th International Symposium on Medical Information and Communication Technology, 2014

Design methodology for low power RF LNA based on the figure of merit and the inversion coefficient.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

A 533pW NEP 31×31 pixel THz image sensor based on in-pixel demodulation.
Proceedings of the ESSCIRC 2014, 2014

Comparison of two optimized readout chains for low light CIS.
Proceedings of the Image Sensors and Imaging Systems 2014, 2014

Highly energy-efficient and quality-tunable inexact FFT accelerators.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

Data fusion for a hand prosthesis tactile feedback system.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

2013
Synthesizing Parsimonious Inexact Circuits through Probabilistic Design Techniques.
ACM Trans. Embed. Comput. Syst., 2013

A 0.18 $\mu {\rm m}$ Biosensor Front-End Based on $1/f$ Noise, Distortion Cancelation and Chopper Stabilization Techniques.
IEEE Trans. Biomed. Circuits Syst., 2013

Design methodology for ultra low-power analog circuits using next generation BSIM6 MOSFET compact model.
Microelectron. J., 2013

A 2.4-GHz MEMS-Based PLL-Free Multi-Channel Receiver With Channel Filtering at RF.
IEEE J. Solid State Circuits, 2013

Designing Energy-Efficient Arithmetic Operators Using Inexact Computing.
J. Low Power Electron., 2013

A 2.4-GHz low power polar transmitter for wireless body area network applications.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Low-power RF modeling of a 40nm CMOS technology using BSIM6.
Proceedings of the 20th International Conference Mixed Design of Integrated Circuits and Systems, 2013

An injection-locking based programmable fractional frequency divider with 0.2 division step for quantization noise reduction.
Proceedings of the ESSCIRC 2013, 2013

Why design reliable chips when faulty ones are even better.
Proceedings of the ESSCIRC 2013, 2013

Improving energy gains of <i>inexact</i> DSP hardware through <i>reciprocative error compensation</i>.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Low-power analog signal processing.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

A low power 2.4 GHz front end with MEMS lattice based channel filtering at RF.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Noise canceling chopper stabilized front-end for electrochemical biosensors with improved dynamic range.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Evaluation of the BSIM6 compact MOSFET model's scalability in 40nm CMOS technology.
Proceedings of the 38th European Solid-State Circuit conference, 2012

Algorithmic methodologies for ultra-efficient inexact architectures for sustaining technology scaling.
Proceedings of the Computing Frontiers Conference, CF'12, 2012

2011
Parsimonious Circuits for Error-Tolerant Applications through Probabilistic Logic Minimization.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

Towards personalized medicine and monitoring for healthy living.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

MEMS-based all-digital frequency synthesis for ultralow-power radio for WBAN and WSN applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A circuit technology platform for medical data acquisition and communication: Outline of a collaboration project within the Swiss Nano-Tera.ch Initiative.
Proceedings of the Design, Automation and Test in Europe, 2011

Energy parsimonious circuit design through probabilistic pruning.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
A 2.4-GHz BAW-Based Transceiver for Wireless Body Area Networks.
IEEE Trans. Biomed. Circuits Syst., 2010

A 5.4dBm 42mW 2.4GHz CMOS BAW-based quasi-direct conversion transmitter.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A multiband concurrent sampling based RF front end for biotelemetry applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Detailed analysis of a phase ADC.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Analysis of ultralow-power asynchronous ADCs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Ultra low power and miniaturized MEMS-based radio for BAN and WSN applications.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

2009
A Narrowband Multi-Channel 2.4 GHz MEMS-Based Transceiver.
IEEE J. Solid State Circuits, 2009

A concurrent quadrature sub-sampling mixer for multiband receivers.
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009

Analysis of a novel BAW-based power amplifier.
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009

A novel complex Gm-C IF sub-sampling mixer.
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009

2008
MEMS for Frequency Synthesis and Wireless RF Communications (or Life without Quartz Crystal).
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

A low-power programmable dynamic frequency divider.
Proceedings of the ESSCIRC 2008, 2008

2007
Frequency synthesis for a low-power 2.4 GHz receiver using a BAW oscillator and a relaxation oscillator.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

Ultra low-power MEMS-based radio for wireless sensor networks.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

2006
A Low-Power 2.4GHz CMOS Receiver Front-End Using BAW Resonators.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

On an implementation of differential and quadrature Colpitts injection-locked frequency dividers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Realization of a low-voltage and low-power Colpitts quadrature oscillator.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006


2005
Energy-Efficient Broadcasting in All-Wireless Networks.
Wirel. Networks, 2005

2004
WiseNET: An Ultralow-Power Wireless Sensor Network Solution.
Computer, 2004

Digital receiver architectures for the IEEE 802.15.4 standard.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A novel I/Q mismatch compensation scheme for a low-IF receiver front-end.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

2003
A 1.5-V 75-dB dynamic range third-order G<sub>m</sub>-C filter integrated in a 0.18-μm standard digital CMOS process.
IEEE J. Solid State Circuits, 2003

wiseMAC, an ultra low power MAC protocol for the wiseNET wireless sensor network.
Proceedings of the 1st International Conference on Embedded Networked Sensor Systems, 2003

Nonlinear analysis of a Colpitts injection-locked frequency divider.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

2002
Addition to "An analysis of flicker noise rejection in low-power and low-voltage CMOS mixers".
IEEE J. Solid State Circuits, 2002

Guest editorial.
IEEE J. Solid State Circuits, 2002

Minimum-energy broadcast in all-wireless networks: : NP-completeness and distribution issues.
Proceedings of the Eighth Annual International Conference on Mobile Computing and Networking, 2002

2001
A micropower class-AB CMOS log-domain filter for DECT applications.
IEEE J. Solid State Circuits, 2001

An ultralow-power UHF transceiver integrated in a standard digital CMOS process: architecture and receiver.
IEEE J. Solid State Circuits, 2001

An ultralow-power UHF transceiver integrated in a standard digital CMOS process: transmitter.
IEEE J. Solid State Circuits, 2001

An analysis of flicker noise rejection in low-power and low-voltage CMOS mixers.
IEEE J. Solid State Circuits, 2001

2000
Design of high-Q varactors for low-power wireless applications using a standard CMOS process.
IEEE J. Solid State Circuits, 2000

MOS transistor modeling for RF IC design.
IEEE J. Solid State Circuits, 2000

Tradeoffs and design of an ultra low power UHF transceiver integrated in a standard digital CMOS process.
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

A low-power low-voltage transceiver architecture suitable for wireless distributed sensors network.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

A 1 V, 1 mW, 434 MHz FSK receiver fully integrated in a standard digital CMOS process.
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, 2000

A 1.2 V, 433 MHz, 10 dBm, 38% global efficiency FSK transmitter integrated in a standard digital CMOS process.
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, 2000

MOS transistor modeling for RF integrated circuit design.
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, 2000

1999
A 1.2V, 430MHz, 4dBm power amplifier and a 250muW front-end, using a standard digital CMOS process.
Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999

A 1-V CMOS log-domain integrator.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

An antialiasing filter using complementary MOS transconductors biased in the triode region.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

A 1 V 5<sup>th</sup>-order Bessel filter dedicated to digital standard processes.
Proceedings of the IEEE 1999 Custom Integrated Circuits Conference, 1999

Design of high-Q varactors for low-power wireless applications using a standard CMOS process.
Proceedings of the IEEE 1999 Custom Integrated Circuits Conference, 1999

1998
A compact low-power BiCMOS log-domain filter.
IEEE J. Solid State Circuits, 1998

Log-domain filters for low-voltage low-power applications.
Proceedings of the 5th IEEE International Conference on Electronics, Circuits and Systems, 1998

A 1.3 V low-power 430 MHz front-end using a standard digital CMOS process [ISM wireless link].
Proceedings of the IEEE 1998 Custom Integrated Circuits Conference, 1998

Nano-amp, active-bulk, weak-inversion analog circuits.
Proceedings of the IEEE 1998 Custom Integrated Circuits Conference, 1998

1997
A BiCMOS programmable continuous-time filter using image-parameter method synthesis and voltage-companding technique.
IEEE J. Solid State Circuits, 1997

A 1.2-V low-power BiCMOS class AB log-domain filter.
IEEE J. Solid State Circuits, 1997

1996
Circuit techniques for reducing the effects of op-amp imperfections: autozeroing, correlated double sampling, and chopper stabilization.
Proc. IEEE, 1996

A low-distortion BiCMOS seventh-order Bessel filter operating at 2.5 V supply.
IEEE J. Solid State Circuits, 1996

A 1.2 V companding current-mode integrator for standard digital CMOS processes.
Proceedings of Third International Conference on Electronics, Circuits, and Systems, 1996

A 1.2 V BiCMOS companding current-mode integrator for ΣΔ-modulators.
Proceedings of Third International Conference on Electronics, Circuits, and Systems, 1996

Analog VLSI solution to the stability study of power networks.
Proceedings of Third International Conference on Electronics, Circuits, and Systems, 1996

1995
A New BiCMOS Low-Voltage and Low-Distortion OTA for Continuous-Time Filters.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

Low-Voltage Companding Current-Mode Integrators.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

Estimating Key Parameters in the EKV MOST Model for Analogue Desgin and Simulation.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995


  Loading...