Boxun Li

Orcid: 0000-0002-6132-7547

According to our database1, Boxun Li authored at least 49 papers between 2013 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
LV-Eval: A Balanced Long-Context Benchmark with 5 Length Levels Up to 256K.
CoRR, 2024

2023
GaitFormer: Revisiting Intrinsic Periodicity for Gait Recognition.
CoRR, 2023

2022
Style Variable and Irrelevant Learning for Generalizable Person Re-identification.
CoRR, 2022

DTR: An Information Bottleneck Based Regularization Framework for Video Action Recognition.
Proceedings of the MM '22: The 30th ACM International Conference on Multimedia, Lisboa, Portugal, October 10, 2022

Tracking Objects as Pixel-Wise Distributions.
Proceedings of the Computer Vision - ECCV 2022, 2022

Motion Sensitive Contrastive Learning for Self-supervised Video Representation.
Proceedings of the Computer Vision - ECCV 2022, 2022

Symmetric Network with Spatial Relationship Modeling for Natural Language-based Vehicle Retrieval.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops, 2022

Interpretable Emotion Analysis Based on Knowledge Graph and OCC Model.
Proceedings of the 31st ACM International Conference on Information & Knowledge Management, 2022

Improving Human-Object Interaction Detection via Phrase Learning and Label Composition.
Proceedings of the Thirty-Sixth AAAI Conference on Artificial Intelligence, 2022

2021
Learning Context-Aware Embedding for Person Search.
CoRR, 2021

Efficient DETR: Improving End-to-End Object Detector with Dense Prior.
CoRR, 2021

Dynamic Evaluation and System Coordination Degree of the Integration of Artificial Intelligence and Real Economy.
Complex., 2021

End-to-End Human Object Interaction Detection With HOI Transformer.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2021

2020
Low Bit-Width Convolutional Neural Network on RRAM.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2019
Attention shifting during child - robot interaction: a preliminary clinical study for children with autism spectrum disorder.
Frontiers Inf. Technol. Electron. Eng., 2019

Double Anchor R-CNN for Human Detection in a Crowd.
CoRR, 2019

WIDER Face and Pedestrian Challenge 2018: Methods and Results.
CoRR, 2019

2018
Towards Real-Time Object Detection on Embedded Systems.
IEEE Trans. Emerg. Top. Comput., 2018

MNSIM: Simulation Platform for Memristor-Based Neuromorphic Computing System.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

CrowdHuman: A Benchmark for Detecting Human in a Crowd.
CoRR, 2018

SFace: An Efficient Network for Face Detection in Large Scale Variations.
CoRR, 2018

Real-time object detection towards high power efficiency.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Binary convolutional neural network on RRAM.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

Computation-oriented fault-tolerance schemes for RRAM computing systems.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Harmonica: A Framework of Heterogeneous Computing Systems With Memristor-Based Neuromorphic Computing Accelerators.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

A Unified Methodology for Designing Hardware Random Number Generators Based on Any Probability Distribution.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

Modeling Random Telegraph Noise as a Randomness Source and its Application in True Random Number Generation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Technological Exploration of RRAM Crossbar Array for Matrix-Vector Multiplication.
J. Comput. Sci. Technol., 2016

Exploring the Precision Limitation for RRAM-Based Analog Approximate Computing.
IEEE Des. Test, 2016

Low power Convolutional Neural Networks on a chip.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Heterogeneous systems with reconfigurable neuromorphic computing accelerators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Going Deeper with Embedded FPGA Platform for Convolutional Neural Network.
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

MNSIM: Simulation platform for memristor-based neuromorphic computing system.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Switched by input: power efficient structure for RRAM-based convolutional neural network.
Proceedings of the 53rd Annual Design Automation Conference, 2016

RRAM based learning acceleration.
Proceedings of the 2016 International Conference on Compilers, 2016

2015
RRAM-Based Analog Approximate Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Rebooting Computing and Low-Power Image Recognition Challenge.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Energy Efficient RRAM Spiking Neural Network for Real Time Classification.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

FPGA Acceleration of Recurrent Neural Network Based Language Model.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

Spiking neural network with RRAM: can we use it for real-world application?
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

RENO: a high-efficient reconfigurable neuromorphic computing accelerator design.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Merging the interface: power, area and accuracy co-optimization for RRAM crossbar-based mixed-signal computing system.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Technological exploration of RRAM crossbar array for matrix-vector multiplication.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Energy efficient spiking neural network design with RRAM devices.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

Large scale recurrent neural network on GPU.
Proceedings of the 2014 International Joint Conference on Neural Networks, 2014

ICE: Inline calibration for memristor crossbar-based computing engine.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Energy efficient neural networks for big data analytics.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Training itself: Mixed-signal training acceleration for memristor-based neural network.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Memristor-based approximated computation.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013


  Loading...