Chih-Wei Liu

Orcid: 0000-0002-3006-9856

According to our database1, Chih-Wei Liu authored at least 68 papers between 1999 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Voltage Control of IPMSM Servo Drive in Constant Power Region With Intelligent Parameter Estimation.
IEEE Access, 2022

2021
Area Efficient High-Performance Digitally Controlled Power Management Unit.
IEEE Trans. Ind. Electron., 2021

Phase sequence interchange scheme for suppressing transient cross regulation on the compensator controlled and non-compensator controlled single-inductor dual-output buck converter.
IET Circuits Devices Syst., 2021

CSAnet: High Speed Channel Spatial Attention Network for Mobile ISP.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2021

2020
Auto-tuning charge balance control for improving transient response on buck converter.
Int. J. Circuit Theory Appl., 2020

Design of a High-Throughput and Area-Efficient Ultra-Long FFT Processor.
Proceedings of the 2020 International Symposium on VLSI Design, Automation and Test, 2020

A Low Latency NN-Based Cyclic Jacobi EVD Processor for DOA Estimation in Radar System.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Pipeline Signal Process Scheme for Saving Power Module Controllers in Power Management Unit.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

2019
FFT-Based Multirate Signal Processing for 18-Band Quasi-ANSI S1.11 1/3-Octave Filter Bank.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Energy Efficient CNN Inference Accelerator Using Fast Fourier Transform.
Proceedings of the International Symposium on VLSI Design, Automation and Test, 2019

High-Throughput 64K-point FFT Processor for THz Imaging Radar System.
Proceedings of the International Symposium on VLSI Design, Automation and Test, 2019

2018
Effects of banner Ad shape and the schema creating process on consumer internet browsing behavior.
Comput. Hum. Behav., 2018

2017
What consumers see when time is running out: Consumers' browsing behaviors on online shopping websites when under time pressure.
Comput. Hum. Behav., 2017

2016
A Low-Error, Cost-Efficient Design Procedure for Evaluating Logarithms to Be Used in a Logarithmic Arithmetic Processor.
IEEE Trans. Computers, 2016

A Systematic ANSI S1.11 Filter Bank Specification Relaxation and Its Efficient Multirate Architecture for Hearing-Aid Systems.
IEEE ACM Trans. Audio Speech Lang. Process., 2016

Binaural-cue-based noise reduction using multirate quasi-ANSI filter bank for hearing aids.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

DeAr: A framework for power-efficient and flexible embedded digital signal processor design.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

2015
An energy-efficient, high-precision SFP LPFIR filter engine for digital hearing aids.
Integr., 2015

2014
Separate Clock Network Voltage for Correcting Random Errors in ULV Clocked Storage Cells.
IEEE Trans. Circuits Syst. II Express Briefs, 2014

Parallelizing Complex Streaming Applications on Distributed Scratchpad Memory Multicore Architecture.
Int. J. Parallel Program., 2014

Complexity-effective implementation of programmable FIR filters using simplified canonic signed digit multiplier.
Proceedings of the Technical Papers of 2014 International Symposium on VLSI Design, 2014

Autonomous tuning method for realizing optimal adaptive voltage positioning scheme.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

An ultra-low voltage hearing aid chip using variable-latency design technique.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

An efficient 18-band quasi-ANSI 1/3-octave filter bank using re-sampling method for digital hearing aids.
Proceedings of the IEEE International Conference on Acoustics, 2014

Optimized memory access support for data layout conversion on heterogeneous multi-core systems.
Proceedings of the 12th IEEE Symposium on Embedded Systems for Real-time Multimedia, 2014

2013
10-ms 18-Band Quasi-ANSI S1.11 1/3-Octave Filter Bank for Digital Hearing Aids.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

Design and Implementation of High-Speed and Energy-Efficient Variable-Latency Speculating Booth Multiplier (VLSBM).
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

A low-error and Rom-free logarithmic arithmetic unit for embedded 3D graphics applications.
Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test, 2013

Spatial-cue-based multi-band binaural noise reduction for hearing aids.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2013

A successful application of big data storage techniques implemented to criminal investigation for telecom.
Proceedings of the 15th Asia-Pacific Network Operations and Management Symposium, 2013

2012
Design and implementation of 18-band Quasi-ANSI S1.11 1/3-octave filter bank for digital hearing aids.
Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 2012

A smart stream controller for efficient implementation of streaming applications on the heterogeneous multicore processor.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A low power hearing aid computing platform using lightweight processing elements.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Early Stage Codesign of Multi-PE SIMD Engine: A Case Study on Object Detection.
Proceedings of the 41st International Conference on Parallel Processing Workshops, 2012

Complexity-effective auditory compensation with a controllable filter for digital hearing aids.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

Challenges of system virtualization.
Proceedings of the 14th Asia-Pacific Network Operations and Management Symposium, 2012

2011
Complexity-Aware Quantization and Lightweight VLSI Implementation of FIR Filters.
EURASIP J. Adv. Signal Process., 2011

2010
Design and Implementation of Low-Power ANSI S1.11 Filter Bank for Digital Hearing Aids.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

Improving energy efficiency of functional units by exploiting their data-dependent latency.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Complexity-effective dynamic range compression for digital hearing aids.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Collaborative voltage scaling with online STA and variable-latency datapath.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Support of Android lab modules for embedded system curriculum.
Proceedings of the 2010 Workshop on Embedded Systems Education, 2010

2009
Parallel object detection on multicore platforms.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2009

Ultra low-power ANSI S1.11 filter bank for digital hearing aids.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Design and Implementation of a High-Performance and Complexity-Effective VLIW DSP for Multimedia Applications.
J. Signal Process. Syst., 2008

Improving datapathutilization of programmable DSP with composite functional units.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Complexity-effective auditory compensation for digital hearing aids.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Multithreaded coprocessor interface for multi-core multimedia SoC.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Self-Compensation Technique for Simplified Belief-Propagation Algorithm.
IEEE Trans. Signal Process., 2007

A View of Gaussian Elimination Applied to Early-Stopped Berlekamp-Massey Algorithm.
IEEE Trans. Commun., 2007

A View of Gaussian Elimination Applied to Early Stopped Berklekamp-Massey Algorithm.
IEEE Trans. Commun., 2007

Latency-Tolerant Virtual Cluster Architecture for VLIW DSP.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Rapid C to FPGA Prototyping with Multithreaded Emulation Engine.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Design of ANSI S1.11 Filter Bank for Digital Hearing Aids.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

Single-Issue 1500MIPS Embedded DSP with Ultra Compact Codes.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
A Compact DSP Core with Static Floating-Point Arithmetic.
J. VLSI Signal Process., 2006

Carry Estimation for Two's Complement Fixed-Width Multipliers.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2006

Programmable FIR filter with adder-based computing engine.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

KIDBSCAN: A New Efficient Data Clustering Algorithm.
Proceedings of the Artificial Intelligence and Soft Computing, 2006

A 52mW 1200MIPS compact DSP for multi-core media SoC.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Hierarchical instruction encoding for VLIW digital signal processors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Pipelining technique for energy-aware datapaths.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Architecture for area-efficient 2-D transform in H.264/AVC.
Proceedings of the 2005 IEEE International Conference on Multimedia and Expo, 2005

A unified processor architecture for RISC & VLIW DSP.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

2004
Static floating-point unit with implicit exponent tracking for embedded DSP.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A compact DSP core with static floating-point unit & its microcode generation.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

2000
Loss behavior in space priority queue with batch Markovian arrival process - discrete-time case.
Perform. Evaluation, 2000

1999
A Systolic Array Implementation of the Feng-Rao Algorithm.
IEEE Trans. Computers, 1999


  Loading...