Tay-Jyi Lin

Orcid: 0000-0002-4448-5017

According to our database1, Tay-Jyi Lin authored at least 60 papers between 2001 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A 16 nm 140 TOPS/W 5 μJ/Inference Keyword Spotting Engine Based on 1D-BCNN.
IEEE Trans. Circuits Syst. II Express Briefs, December, 2023

Force-Sensing Intelligent Vise for Cutting Dynamics Monitoring in Machining.
Proceedings of the 2023 IEEE SENSORS, Vienna, Austria, October 29 - Nov. 1, 2023, 2023

2022
A 40nm CMOS SoC for Real-Time Dysarthric Voice Conversion of Stroke Patients.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2020
A 0.21V 40nm NAND-ROM for IoT Sensing Systems with Long Standby Periods.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

2018
A Low-Area, Low-Power, and Low-Leakage Error-Detecting Latch for Timing-Error Resilient System Designs.
Proceedings of the 31st IEEE International System-on-Chip Conference, 2018

Near-Threshold CORDIC Design with Dynamic Circuitry for Long-Standby IoT Applications.
Proceedings of the 31st IEEE International System-on-Chip Conference, 2018

2017
ULV-Turbo Cache for an Instantaneous Performance Boost on Asymmetric Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Approximate Distributed Arithmetic for Variable-Latency Table Lookup.
Proceedings of the New Generation of CAS, 2017

An all-n-type dynamic adder for ultra-low-leakage IoT devices.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
Speculative Lookahead for Energy-Efficient Microprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Zero-Counting and Adaptive-Latency Cache Using a Voltage-Guardband Breakthrough for Energy-Efficient Operations.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

Cross-matching caches: Dynamic timing calibration and bit-level timing-failure mask caches to reduce timing discrepancies with low voltage processors.
Integr., 2016

Overoptimistic voltage scaling in pre-error AVS systems and learning-based alleviation.
Proceedings of the 29th IEEE International System-on-Chip Conference, 2016

Variable-length VLIW encoding for code size reduction in embedded processors.
Proceedings of the 29th IEEE International System-on-Chip Conference, 2016

A low complexity edge-preserved image compression algorithm for LCD overdrive.
Proceedings of the IEEE 5th Global Conference on Consumer Electronics, 2016

2015
System-Level Performance and Power Optimization for MPSoC: A Memory Access-Aware Approach.
ACM Trans. Embed. Comput. Syst., 2015

Low-cost low-power droop-voltage-aware delay-fault-prevention designs for DVS caches.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
Maintaining color fidelity for dual-shot HDR imaging.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2014

Low power fixed-latency DSP accelerator with autonomous minimum energy tracking (AMET).
Proceedings of the 2014 IEEE Hot Chips 26 Symposium (HCS), 2014

2013
Variation-aware and adaptive-latency accesses for reliable low voltage caches.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

A 0.48V 0.57nJ/pixel video-recording SoC in 65nm CMOS.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

2012
A 4R/2W Register File Design for UDVS Microprocessors in 65-nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

A smart stream controller for efficient implementation of streaming applications on the heterogeneous multicore processor.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Energy-efficient RISC design with on-demand circuit-level timing speculation.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

Complexity-effective Hilbert-Huang transform (HHT) IP for embedded real-time applications.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Parallel Architecture Core (PAC) - the First Multicore Application Processor SoC in Taiwan Part I: Hardware Architecture & Software Development Tools.
J. Signal Process. Syst., 2011

Hierarchical circuit-switched NoC for multicore video processing.
Microprocess. Microsystems, 2011

Complexity-Aware Quantization and Lightweight VLSI Implementation of FIR Filters.
EURASIP J. Adv. Signal Process., 2011

2010
Design and Implementation of Low-Power ANSI S1.11 Filter Bank for Digital Hearing Aids.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

Improving energy efficiency of functional units by exploiting their data-dependent latency.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Complexity-effective dynamic range compression for digital hearing aids.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Hierarchical memory scheduling for multimedia MPSoCs.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Collaborative voltage scaling with online STA and variable-latency datapath.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

RunAssert: A non-intrusive run-time assertion for parallel programs debugging.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Parallel object detection on multicore platforms.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2009

Ultra low-power ANSI S1.11 filter bank for digital hearing aids.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Design and Implementation of a High-Performance and Complexity-Effective VLIW DSP for Multimedia Applications.
J. Signal Process. Syst., 2008

Improving datapathutilization of programmable DSP with composite functional units.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Complexity-effective auditory compensation for digital hearing aids.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Cycle Stealing and Channel Management for On-Chip Networks.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications, 2008

Multithreaded coprocessor interface for multi-core multimedia SoC.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Latency-Tolerant Virtual Cluster Architecture for VLIW DSP.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Rapid C to FPGA Prototyping with Multithreaded Emulation Engine.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Design of ANSI S1.11 Filter Bank for Digital Hearing Aids.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

Single-Issue 1500MIPS Embedded DSP with Ultra Compact Codes.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
A Compact DSP Core with Static Floating-Point Arithmetic.
J. VLSI Signal Process., 2006

Programmable FIR filter with adder-based computing engine.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A 52mW 1200MIPS compact DSP for multi-core media SoC.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Hierarchical instruction encoding for VLIW digital signal processors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Pipelining technique for energy-aware datapaths.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Architecture for area-efficient 2-D transform in H.264/AVC.
Proceedings of the 2005 IEEE International Conference on Multimedia and Expo, 2005

A unified processor architecture for RISC & VLIW DSP.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

2004
Static floating-point unit with implicit exponent tracking for embedded DSP.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A compact DSP core with static floating-point unit & its microcode generation.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

2003
Area-effective FIR filter design for multiplier-less implementation.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Coefficient optimization for area-effective multiplier-less FIR filters.
Proceedings of the 2003 IEEE International Conference on Multimedia and Expo, 2003

Performance evaluation of ring-structure register file in multimedia applications.
Proceedings of the 2003 IEEE International Conference on Multimedia and Expo, 2003

An Efficient VLIW DSP Architecture for Baseband Processing.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

2002
CASCADE - configurable and scalable DSP environment.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

2001
An efficient 2-D DWT architecture via resource cycling.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001


  Loading...