Giuseppe Scotti

Orcid: 0000-0002-5650-8212

According to our database1, Giuseppe Scotti authored at least 138 papers between 2002 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Rail to Rail ICMR and High Performance ULV Standard-Cell-Based Comparator for Biomedical and IoT Applications.
IEEE Access, 2024

A Novel High Performance Standard-Cell Based ULV OTA Exploiting an Improved Basic Amplifier.
IEEE Access, 2024

2023
High-Accuracy Low-Cost Generalized Complex Pruned Volterra Models for Nonlinear Calibration.
IEEE Trans. Circuits Syst. I Regul. Pap., September, 2023

A Novel FPGA Implementation of the NAND-PUF with Minimal Resource Usage and High Reliability.
Cryptogr., June, 2023

A body-driven rail-to-rail 0.3 V operational transconductance amplifier exploiting current gain stages.
Int. J. Circuit Theory Appl., May, 2023

A Detailed Model of Cyclostationary Noise in Switched-Resistor Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., February, 2023

Exploiting the DD-Cell as an Ultra-Compact Entropy Source for an FPGA-Based Re-Configurable PUF-TRNG Architecture.
IEEE Access, 2023

A 0.3V Rail-to-Rail Three-Stage OTA With High DC Gain and Improved Robustness to PVT Variations.
IEEE Access, 2023

Wide-Band Shared LNA for Large Scale Neural Recording Applications.
Proceedings of the 19th International Conference on Synthesis, 2023

On Enhancing the Throughput of the Latched Ring Oscillator TRNG on FPGA.
Proceedings of the Applications in Electronics Pervading Industry, Environment and Society, 2023

2022
Enabling ULV Fully Synthesizable Analog Circuits: The BA Cell, a Standard-Cell-Based Building Block for Analog Design.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A Lightweight FPGA Compatible Weak-PUF Primitive Based on XOR Gates.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A Novel Ultra-Compact FPGA-Compatible TRNG Architecture Exploiting Latched Ring Oscillators.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

High-Throughput FPGA-Compatible TRNG Architecture Exploiting Multistimuli Metastable Cells.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

A SiGe HBT 6th-Order 10 GHz Inductor-Less Anti-Aliasing Low-Pass Filter for High-Speed ATI Digitizers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

A Novel Differential to Single-Ended Converter for Ultra-Low-Voltage Inverter-Based OTAs.
IEEE Access, 2022

A Novel Clock Gating Approach for the Design of Low-Power Linear Feedback Shift Registers.
IEEE Access, 2022

A Biasing Approach to Design Ultra-Low-Power Standard-Cell-Based Analog Building Blocks for Nanometer SoCs.
IEEE Access, 2022

The DD-Cell: a Double Side Entropic Source exploitable as PUF and TRNG.
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022

Sub-μW Front-End Low Noise Amplifier for Neural Recording Applications.
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022

High-efficiency 0.3V OTA in CMOS 130nm technology using current mirrors with gain.
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022

2021
A Very-Low-Voltage Frequency Divider in Folded MOS Current Mode Logic With Complementary n- and p-type Flip-Flops.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Design of Low-Voltage Power Efficient Frequency Dividers in Folded MOS Current Mode Logic.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

A Detailed Model of the Switched-Resistor Technique.
IEEE Open J. Circuits Syst., 2021

Klessydra-T: Designing Vector Coprocessors for Multithreaded Edge-Computing Cores.
IEEE Micro, 2021

A Novel Ultra-Compact FPGA PUF: The DD-PUF.
Cryptogr., 2021

SC-DDPL as a Countermeasure against Static Power Side-Channel Attacks.
Cryptogr., 2021

A Low-Voltage High-Performance Frequency Divider exploiting Folded MCML.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

2020
A Novel 0.5 V MCML D-Flip-Flop Topology Exploiting Forward Body Bias Threshold Lowering.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

A Multi-Folded MCML for Ultra-Low-Voltage High-Performance in Deeply Scaled CMOS.
IEEE Trans. Circuits Syst., 2020

SC-DDPL: A Novel Standard-Cell Based Approach for Counteracting Power Analysis Attacks in the Presence of Unbalanced Routing.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

Delay models and design guidelines for MCML gates with resistor or PMOS load.
Microelectron. J., 2020

An improved reversed miller compensation technique for three-stage CMOS OTAs with double pole-zero cancellation and almost single-pole frequency response.
Int. J. Circuit Theory Appl., 2020

0.6-V CMOS cascode OTA with complementary gate-driven gain-boosting and forward body bias.
Int. J. Circuit Theory Appl., 2020

Klessydra-T: Designing Vector Coprocessors for Multi-Threaded Edge-Computing Cores.
CoRR, 2020

2019
Tackling imbalance radiomics in acoustic neuroma.
Int. J. Data Min. Bioinform., 2019

Area-Efficient Low-Power Bandpass Gm-C Filter for Epileptic Seizure Detection in 130nm CMOS.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

2018
Secure Double Rate Registers as an RTL Countermeasure Against Power Analysis Attacks.
IEEE Trans. Very Large Scale Integr. Syst., 2018

TEL Logic Style as a Countermeasure Against Side-Channel Attacks: Secure Cells Library in 65nm CMOS and Experimental Results.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A Novel Very Low Voltage Topology to implement MCML XOR Gates.
Proceedings of the 14th Conference on Ph.D. Research in Microelectronics and Electronics, 2018

Secure Implementation of TEL-compatible Flip-Flops using a Standard-Cell Approach.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Novel 0.6V MCML D-Latch Topology exploiting Dynamic Body Bias Threshold Lowering.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Radiomics for Predicting CyberKnife response in acoustic neuroma: a pilot study.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2018

2017
Design of Low-Voltage High-Speed CML D-Latches in Nanometer CMOS Technologies.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Univariate Power Analysis Attacks Exploiting Static Dissipation of Nanometer CMOS VLSI Circuits for Cryptographic Applications.
IEEE Trans. Emerg. Top. Comput., 2017

0.9-V Class-AB Miller OTA in 0.35-µm CMOS With Threshold-Lowered Non-Tailed Differential Pair.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

A Novel Framework to Estimate the Path Delay Variability On the Back of an Envelope via the Fan-Out-of-4 Metric.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

A Decomposition of the Tikhonov Regularization Functional Oriented to Exploit Hybrid Multilevel Parallelism.
Int. J. Parallel Program., 2017

Template attacks exploiting static power and application to CMOS lightweight crypto-hardware.
Int. J. Circuit Theory Appl., 2017

Design-oriented models for quick estimation of path delay variability via the fan-out-of-4 metric.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Novel measurements setup for attacks exploiting static power using DC pico-ammeter.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

Multivariate Analysis Exploiting Static Power on Nanoscale CMOS Circuits for Cryptographic Applications.
Proceedings of the Progress in Cryptology - AFRICACRYPT 2017, 2017

2016
CMOS Non-tailed differential pair.
Int. J. Circuit Theory Appl., 2016

On-chip analog current equalizer as a countermeasure against side-channel attacks in CMOS nanometer technology.
Proceedings of the 2016 MIXDES, 2016

Implementation of the PRESENT-80 block cipher and analysis of its vulnerability to Side Channel Attacks Exploiting Static Power.
Proceedings of the 2016 MIXDES, 2016

2015
Design and validation through a frequency-based metric of a new countermeasure to protect nanometer ICs from side-channel attacks.
J. Cryptogr. Eng., 2015

High-tuning-range CMOS band-pass IF filter based on a low-<i>Q</i> cascaded biquad optimization technique.
Int. J. Circuit Theory Appl., 2015

Towards a parallel component in a GPU-CUDA environment: a case study with the L-BFGS Harwell routine.
Int. J. Comput. Math., 2015

2014
88-µ A 1-MHz Stray-Insensitive CMOS Current-Mode Interface IC for Differential Capacitive Sensors.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Effectiveness of Leakage Power Analysis Attacks on DPA-Resistant Logic Styles Under Process Variations.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Design of broad-band power amplifiers by means of an impedance transforming lossy equalizer.
Proceedings of the 21st International Conference Mixed Design of Integrated Circuits and Systems, 2014

Leakage Power Analysis attacks against a bit slice implementation of the Serpent block cipher.
Proceedings of the 21st International Conference Mixed Design of Integrated Circuits and Systems, 2014


2013
Adaptive frequency compensation for maximum and constant bandwidth feedback amplifiers.
Int. J. Circuit Theory Appl., 2013

Security Evaluation and Optimization of the Delay-based Dual-rail Pre-charge Logic in Presence of Early Evaluation of Data.
Proceedings of the SECRYPT 2013, 2013

A flip-flop implementation for the DPA-resistant Delay-based Dual-rail Pre-charge Logic family.
Proceedings of the 20th International Conference Mixed Design of Integrated Circuits and Systems, 2013

Effect of components relative tolerance in the magnitude response of a Gm-C biquad.
Proceedings of the 21st European Conference on Circuit Theory and Design, 2013

2012
Autotuning technique for CMOS current mode capacitive sensor interfaces.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Constant and maximum bandwidth feedback amplifier with adaptive frequency compensation.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

2011
Delay-Based Dual-Rail Precharge Logic.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Reply to "Comments on Avoiding the Gain-Bandwidth Trade Off in Feedback Amplifiers".
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

Avoiding the Gain-Bandwidth Trade Off in Feedback Amplifiers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

Leakage Power Analysis attacks: Effectiveness on DPA resistant logic styles under process variations.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Design strategy for biquad-based continuous-time low-pass filters.
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011

A very low-voltage differential amplifier for opamp design.
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011

2010
Leakage Power Analysis Attacks: A Novel Class of Attacks to Nanometer Cryptographic Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

A modified damped Richardson-Lucy algorithm to reduce isotropic background effects in spherical deconvolution.
NeuroImage, 2010

On Practical Second-Order Power Analysis Attacks for Block Ciphers.
Proceedings of the Information and Communications Security - 12th International Conference, 2010

2009
Analysis and Implementation of a Minimum-Supply Body-Biased CMOS Differential Amplifier Cell.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Design Solutions for Sample-and-Hold Circuits in CMOS Nanometer Technologies.
IEEE Trans. Circuits Syst. II Express Briefs, 2009

A novel low-voltage low-power fully differential voltage and current gained CCII for floating impedance simulations.
Microelectron. J., 2009

0.9-V CMOS cascode amplifier with body-driven gain boosting.
Int. J. Circuit Theory Appl., 2009

Power Analysis of a Chaos-based Random Number Generator for Cryptographic Security.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

CMOS Body-enhanced Cascode Current Mirror.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Delay-based dual-rail pre-charge logic.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

Leakage Power Analysis attacks: Theoretical analysis and impact of variations.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

2008
Noise Correction on Rician Distributed Data for Fibre Orientation Estimators.
IEEE Trans. Medical Imaging, 2008

Unity-Gain Amplifier With Theoretically Zero Gain Error.
IEEE Trans. Instrum. Meas., 2008

The topographical distribution of tissue injury in benign MS: A 3T multiparametric MRI study.
NeuroImage, 2008

Motor and language DTI Fiber Tracking combined with intraoperative subcortical mapping for surgical removal of gliomas.
NeuroImage, 2008

Enhancing power analysis attacks against cryptographic devices.
IET Circuits Devices Syst., 2008

Differential Capacitance Analysis.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2008

Mixed-signal flexible architecture for the synthesis of n-port networks.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Low voltage, low power, compact, high accuracy, high precision PTAT temperature sensor for deep sub-micron CMOS systems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Dual op amp, LDO regulator with power supply gain suppression for CMOS smart sensors and microsystems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A gain-enhancing technique for very low-voltage amplifiers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A new dynamic differential logic style as a countermeasure to power analysis attacks.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

2007
Linearization Technique for Source-Degenerated CMOS Differential Transconductors.
IEEE Trans. Circuits Syst. II Express Briefs, 2007

The Universal Circuit Simulator: A Mixed-Signal Approach to n-Port Network and Impedance Synthesis.
IEEE Trans. Circuits Syst. I Regul. Pap., 2007

A High-Speed Low-Voltage Phase Detector for Clock Recovery From NRZ Data.
IEEE Trans. Circuits Syst. I Regul. Pap., 2007

CMOS High-CMRR Current Output Stages.
IEEE Trans. Circuits Syst. II Express Briefs, 2007

A Model-Based Deconvolution Approach to Solve Fiber Crossing in Diffusion-Weighted MR Imaging.
IEEE Trans. Biomed. Eng., 2007

A Statistical Model of Logic Gates for Monte Carlo Simulation Including On-Chip Variations.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2007

150 µA CMOS Transconductor with 82 dB SFDR.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Source-degenerated CMOS Transconductor with Auxiliary Linearization.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Low Voltage CMOS Current and Voltage References without Resistors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Mismatch-tolerant, Continuous Time, Gain Enhanced Amplifiers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Analysis of data dependence of leakage current in CMOS cryptographic hardware.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Very Low Voltage CMOS Two-stage Amplifier.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

Sub-1V CMOS OTA with Body-driven Gain Boosting.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

CMOS Miller OTA with Body-Biased Output Stage.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

CCII-based high-valued inductance simulators with minumum number of active elements.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

Mismatch Tolerant, Continuous Time, Rail to Rail, Gain Enhanced CMOS Amplifiers.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

2006
High-CMRR Current Amplifier Architecture and Its CMOS Implementation.
IEEE Trans. Circuits Syst. II Express Briefs, 2006

Inverting closed-loop amplifier architecture with reduced gain error and high input impedance.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Enhancing power analysis attacks against cryptographic devices.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Validation of a statistical non-linear model of GaAs HEMT MMIC's by hypothesis testing and principal components analysis.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Side channel analysis resistant design flow.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

2005
A novel yield optimization technique for digital CMOS circuits design by means of process parameters run-time estimation and body bias active control.
IEEE Trans. Very Large Scale Integr. Syst., 2005

A 10-Gb/s CMU/CDR chip-set in SiGe BiCMOS commercial technology with multistandard capability.
IEEE Trans. Very Large Scale Integr. Syst., 2005

A whole brain MR spectroscopy study from patients with Alzheimer's disease and mild cognitive impairment.
NeuroImage, 2005

CMOS single-to-differential current amplifier.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

High-speed CMOS-to-ECL pad driver in 0.18µm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

10-th order programmable low-pass CMOS integrated pulse-shaping filter.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A novel CMOS logic style with data independent power consumption.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Switched-capacitor body-biasing technique for very low voltage CMOS amplifiers.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

2-V CMOS current operational amplifier with high CMRR.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

2004
Pyramidal tract lesions and movement-associated cortical recruitment in patients with MS.
NeuroImage, 2004

A functional MRI study of movement-associated cortical changes in patients with Devic's neuromyelitis optica.
NeuroImage, 2004

A functional MRI study of cortical activations associated with object manipulation in patients with MS.
NeuroImage, 2004

Yield Optimization by Means of Process Parameters Estimation: Comparison Between ABB and ASV Techniques.
Proceedings of the Integrated Circuit and System Design, 2004

A high-speed low-voltage phase detector for clock recovery from NRZ data.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

2003
Functional cortical changes in patients with multiple sclerosis and nonspecific findings on conventional magnetic resonance imaging scans of the brain.
NeuroImage, 2003

Evidence for axonal pathology and adaptive cortical reorganization in patients at presentation with clinically isolated syndromes suggestive of multiple sclerosis.
NeuroImage, 2003

A functional magnetic resonance imaging study of patients with secondary progressive multiple sclerosis.
NeuroImage, 2003

An accurate behavioral model of phase detectors for clock recovery circuits.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

2002
Correlations between Structural CNS Damage and Functional MRI Changes in Primary Progressive MS.
NeuroImage, 2002

Functional Magnetic Resonance Imaging Correlates of Fatigue in Multiple Sclerosis.
NeuroImage, 2002


  Loading...