Alessandro Trifiletti

Orcid: 0000-0001-6231-4273

According to our database1, Alessandro Trifiletti authored at least 167 papers between 1998 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
An Intermodulation Radar for Non-Linear Target and Transceiver Detection.
Sensors, March, 2024

2023
A 17 GHz inductorless low-pass filter based on a quasi-Sallen-Key approach.
Int. J. Circuit Theory Appl., November, 2023

High-Accuracy Low-Cost Generalized Complex Pruned Volterra Models for Nonlinear Calibration.
IEEE Trans. Circuits Syst. I Regul. Pap., September, 2023

A body-driven rail-to-rail 0.3 V operational transconductance amplifier exploiting current gain stages.
Int. J. Circuit Theory Appl., May, 2023

An Improved Strong Arm Comparator With Integrated Static Preamplifier.
IEEE Access, 2023

A 0.3V Rail-to-Rail Three-Stage OTA With High DC Gain and Improved Robustness to PVT Variations.
IEEE Access, 2023

A Novel Parallel Digitizer With a Pulseless Mixing-Filtering-Processing Architecture and Its Implementation in a SiGe HBT Technology at 40GS/s.
IEEE Access, 2023

Robust Body Biasing Techniques for Dynamic Comparators.
Proceedings of the 18th Conference on Ph.D Research in Microelectronics and Electronics, 2023

A Novel Ultra-Low Voltage Fully Synthesizable Comparator exploiting NAND Gates.
Proceedings of the 18th Conference on Ph.D Research in Microelectronics and Electronics, 2023

A 2.5 GHz, 0.6 V Body Driven Dynamic Comparator Exploiting Charge Pump Based Dynamic Biasing.
Proceedings of the 18th Conference on Ph.D Research in Microelectronics and Electronics, 2023

CMOS Adaptive Biased Second Generation Voltage Conveyor.
Proceedings of the International Workshop on Biomedical Applications, 2023

2022
General Approach to the Calibration of Innovative MFP Multichannel Digitizers.
IEEE Trans. Instrum. Meas., 2022

A SiGe HBT 6th-Order 10 GHz Inductor-Less Anti-Aliasing Low-Pass Filter for High-Speed ATI Digitizers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

2021
Compact E-Band I/Q Receiver in SiGe BiCMOS for 5G Backhauling Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Design of Low-Voltage Power Efficient Frequency Dividers in Folded MOS Current Mode Logic.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

A Detailed Model of the Switched-Resistor Technique.
IEEE Open J. Circuits Syst., 2021

A Low-Voltage High-Performance Frequency Divider exploiting Folded MCML.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

2020
A Novel 0.5 V MCML D-Flip-Flop Topology Exploiting Forward Body Bias Threshold Lowering.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Delay models and design guidelines for MCML gates with resistor or PMOS load.
Microelectron. J., 2020

An improved reversed miller compensation technique for three-stage CMOS OTAs with double pole-zero cancellation and almost single-pole frequency response.
Int. J. Circuit Theory Appl., 2020

Low-power class-AB 4th-order low-pass filter based on current conveyors with dynamic mismatch compensation of biasing errors.
Int. J. Circuit Theory Appl., 2020

0.6-V CMOS cascode OTA with complementary gate-driven gain-boosting and forward body bias.
Int. J. Circuit Theory Appl., 2020

High-speed AWG exploiting parallel time interleaved DAC cores.
Proceedings of the 2020 IEEE International Instrumentation and Measurement Technology Conference, 2020

2019
High-gain, high-CMRR class AB operational transconductance amplifier based on the flipped voltage follower.
Int. J. Circuit Theory Appl., 2019

Area-Efficient Low-Power Bandpass Gm-C Filter for Epileptic Seizure Detection in 130nm CMOS.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

A low-power class-AB Gm-C biquad stage in CMOS 40nm technology.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

2018
Secure Double Rate Registers as an RTL Countermeasure Against Power Analysis Attacks.
IEEE Trans. Very Large Scale Integr. Syst., 2018

New Models for the Calibration of Four-Channel Time-Interleaved ADCs Using Filter Banks.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

A Topology of Fully Differential Class-AB Symmetrical OTA With Improved CMRR.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

TEL Logic Style as a Countermeasure Against Side-Channel Attacks: Secure Cells Library in 65nm CMOS and Experimental Results.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A Novel Very Low Voltage Topology to implement MCML XOR Gates.
Proceedings of the 14th Conference on Ph.D. Research in Microelectronics and Electronics, 2018

Secure Implementation of TEL-compatible Flip-Flops using a Standard-Cell Approach.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Novel 0.6V MCML D-Latch Topology exploiting Dynamic Body Bias Threshold Lowering.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

2017
Design of Low-Voltage High-Speed CML D-Latches in Nanometer CMOS Technologies.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Univariate Power Analysis Attacks Exploiting Static Dissipation of Nanometer CMOS VLSI Circuits for Cryptographic Applications.
IEEE Trans. Emerg. Top. Comput., 2017

Calibration of Time-Interleaved ADCs via Hermitianity-Preserving Taylor Approximations.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

Faster, Stabler, and Simpler - A Recursive-Least-Squares Algorithm Exploiting the Frisch-Waugh-Lovell Theorem.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

0.9-V Class-AB Miller OTA in 0.35-µm CMOS With Threshold-Lowered Non-Tailed Differential Pair.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

A Novel Framework to Estimate the Path Delay Variability On the Back of an Envelope via the Fan-Out-of-4 Metric.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Fully Differential Class-AB OTA with Improved CMRR.
J. Circuits Syst. Comput., 2017

Template attacks exploiting static power and application to CMOS lightweight crypto-hardware.
Int. J. Circuit Theory Appl., 2017

Design-oriented models for quick estimation of path delay variability via the fan-out-of-4 metric.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Multi-rate signal processing based model for high-speed digitizers.
Proceedings of the IEEE International Instrumentation and Measurement Technology Conference, 2017

Perfect reconstruction filters for 4-channels time-interleaved ADC affected by mismatches.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

The recursive batch least squares filter: An efficient RLS filter for floating-point hardware.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

On the use of voltage conveyors for the synthesis of biquad filters and arbitrary networks.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

Class-AB current conveyors based on the FVF.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

A fully-differential class-AB OTA with CMRR improved by local feedback.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

VHDL implementation of FWL RLS algorithm.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

Novel measurements setup for attacks exploiting static power using DC pico-ammeter.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

Power-efficient dynamic-biased CCII.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

Multivariate Analysis Exploiting Static Power on Nanoscale CMOS Circuits for Cryptographic Applications.
Proceedings of the Progress in Cryptology - AFRICACRYPT 2017, 2017

2016
Comparative performance analysis and complementary triode based CMFB circuits for fully differential class AB symmetrical OTAs with low power consumption.
Int. J. Circuit Theory Appl., 2016

CMOS Non-tailed differential pair.
Int. J. Circuit Theory Appl., 2016

A shared memory, parameterized and configurable in FPGA, for use in multiprocessor systems.
Proceedings of the 2016 MIXDES, 2016

A fault-tolerant real-time microcontroller with multiprocessor architecture.
Proceedings of the 2016 MIXDES, 2016

Synthesis of anti-aliasing filters for IF receivers.
Proceedings of the 2016 MIXDES, 2016

A new class-AB Flipped Voltage Follower using a common-gate auxiliary amplifier.
Proceedings of the 2016 MIXDES, 2016

On-chip analog current equalizer as a countermeasure against side-channel attacks in CMOS nanometer technology.
Proceedings of the 2016 MIXDES, 2016

Implementation of the PRESENT-80 block cipher and analysis of its vulnerability to Side Channel Attacks Exploiting Static Power.
Proceedings of the 2016 MIXDES, 2016

2015
Subsampling Models of Bandwidth Mismatch for Time-Interleaved Converter Calibration.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

Design and validation through a frequency-based metric of a new countermeasure to protect nanometer ICs from side-channel attacks.
J. Cryptogr. Eng., 2015

High-tuning-range CMOS band-pass IF filter based on a low-<i>Q</i> cascaded biquad optimization technique.
Int. J. Circuit Theory Appl., 2015

2014
88-µ A 1-MHz Stray-Insensitive CMOS Current-Mode Interface IC for Differential Capacitive Sensors.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Effectiveness of Leakage Power Analysis Attacks on DPA-Resistant Logic Styles Under Process Variations.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

A wideband amplifier topology based on positive capacitive feedback.
Microelectron. J., 2014

Architecture and modeling of a novel optical beamforming network suitable for microwave photonics implementation.
Proceedings of the 21st International Conference Mixed Design of Integrated Circuits and Systems, 2014

Implementing radar algorithms on CUDA hardware.
Proceedings of the 21st International Conference Mixed Design of Integrated Circuits and Systems, 2014

Experiments on two clock countermeasures against power analysis attacks.
Proceedings of the 21st International Conference Mixed Design of Integrated Circuits and Systems, 2014

Design of broad-band power amplifiers by means of an impedance transforming lossy equalizer.
Proceedings of the 21st International Conference Mixed Design of Integrated Circuits and Systems, 2014

Leakage Power Analysis attacks against a bit slice implementation of the Serpent block cipher.
Proceedings of the 21st International Conference Mixed Design of Integrated Circuits and Systems, 2014

A Novel Wake-Up Receiver with Addressing Capability for Wireless Sensor Nodes.
Proceedings of the IEEE International Conference on Distributed Computing in Sensor Systems, 2014

2013
Improved Digital Background Calibration of Time-Interleaved Pipeline A/D Converters.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

Adaptive frequency compensation for maximum and constant bandwidth feedback amplifiers.
Int. J. Circuit Theory Appl., 2013

Security Evaluation and Optimization of the Delay-based Dual-rail Pre-charge Logic in Presence of Early Evaluation of Data.
Proceedings of the SECRYPT 2013, 2013

A logic level countermeasure against CPA side channel attacks on AES.
Proceedings of the 20th International Conference Mixed Design of Integrated Circuits and Systems, 2013

A flip-flop implementation for the DPA-resistant Delay-based Dual-rail Pre-charge Logic family.
Proceedings of the 20th International Conference Mixed Design of Integrated Circuits and Systems, 2013

Effect of components relative tolerance in the magnitude response of a Gm-C biquad.
Proceedings of the 21st European Conference on Circuit Theory and Design, 2013

2012
A Flip-Flop for the DPA Resistant Three-Phase Dual-Rail Pre-Charge Logic Family.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Efficient Digital Background Calibration of Time-Interleaved Pipeline Analog-to-Digital Converters.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

Autotuning technique for CMOS current mode capacitive sensor interfaces.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Constant and maximum bandwidth feedback amplifier with adaptive frequency compensation.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

2011
Delay-Based Dual-Rail Precharge Logic.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Reply to "Comments on Avoiding the Gain-Bandwidth Trade Off in Feedback Amplifiers".
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

Avoiding the Gain-Bandwidth Trade Off in Feedback Amplifiers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

Leakage Power Analysis attacks: Effectiveness on DPA resistant logic styles under process variations.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Design strategy for biquad-based continuous-time low-pass filters.
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011

A class-AB very low voltage amplifier and sample & hold circuit.
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011

A class-AB flipped voltage follower output stage.
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011

An MDAC architecture with low sensitivity to finite opamp gain.
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011

A very low-voltage differential amplifier for opamp design.
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011

2010
Behavioral Modeling for Calibration of Pipeline Analog-To-Digital Converters.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

Leakage Power Analysis Attacks: A Novel Class of Attacks to Nanometer Cryptographic Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

On Practical Second-Order Power Analysis Attacks for Block Ciphers.
Proceedings of the Information and Communications Security - 12th International Conference, 2010

2009
Analysis and Implementation of a Minimum-Supply Body-Biased CMOS Differential Amplifier Cell.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Design Solutions for Sample-and-Hold Circuits in CMOS Nanometer Technologies.
IEEE Trans. Circuits Syst. II Express Briefs, 2009

A novel low-voltage low-power fully differential voltage and current gained CCII for floating impedance simulations.
Microelectron. J., 2009

0.9-V CMOS cascode amplifier with body-driven gain boosting.
Int. J. Circuit Theory Appl., 2009

Power Analysis of a Chaos-based Random Number Generator for Cryptographic Security.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

CMOS Body-enhanced Cascode Current Mirror.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Delay-based dual-rail pre-charge logic.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

Leakage Power Analysis attacks: Theoretical analysis and impact of variations.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

2008
Unity-Gain Amplifier With Theoretically Zero Gain Error.
IEEE Trans. Instrum. Meas., 2008

High-Level Side-Channel Attack Modeling and Simulation for Security-Critical Systems on Chips.
IEEE Trans. Dependable Secur. Comput., 2008

Enhancing power analysis attacks against cryptographic devices.
IET Circuits Devices Syst., 2008

A Simple Technique for Fast Digital Background Calibration of A/D Converters.
EURASIP J. Adv. Signal Process., 2008

Differential Capacitance Analysis.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2008

Mixed-signal flexible architecture for the synthesis of n-port networks.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Low voltage, low power, compact, high accuracy, high precision PTAT temperature sensor for deep sub-micron CMOS systems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Dual op amp, LDO regulator with power supply gain suppression for CMOS smart sensors and microsystems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A gain-enhancing technique for very low-voltage amplifiers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A new dynamic differential logic style as a countermeasure to power analysis attacks.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

2007
A Dynamic and Differential CMOS Lookup Table with Data-Independent Power Consumption for Cryptographic Applications on Chip Cards.
IEEE Trans. Dependable Secur. Comput., 2007

Linearization Technique for Source-Degenerated CMOS Differential Transconductors.
IEEE Trans. Circuits Syst. II Express Briefs, 2007

The Universal Circuit Simulator: A Mixed-Signal Approach to n-Port Network and Impedance Synthesis.
IEEE Trans. Circuits Syst. I Regul. Pap., 2007

A High-Speed Low-Voltage Phase Detector for Clock Recovery From NRZ Data.
IEEE Trans. Circuits Syst. I Regul. Pap., 2007

CMOS High-CMRR Current Output Stages.
IEEE Trans. Circuits Syst. II Express Briefs, 2007

Testing power-analysis attack susceptibility in register-transfer level designs.
IET Inf. Secur., 2007

A Statistical Model of Logic Gates for Monte Carlo Simulation Including On-Chip Variations.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2007

150 µA CMOS Transconductor with 82 dB SFDR.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Source-degenerated CMOS Transconductor with Auxiliary Linearization.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Low Voltage CMOS Current and Voltage References without Resistors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Mismatch-tolerant, Continuous Time, Gain Enhanced Amplifiers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

A distortion model for pipeline Analog-to-Digital converters.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Analysis of data dependence of leakage current in CMOS cryptographic hardware.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Very Low Voltage CMOS Two-stage Amplifier.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

Sub-1V CMOS OTA with Body-driven Gain Boosting.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

CMOS Miller OTA with Body-Biased Output Stage.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

CCII-based high-valued inductance simulators with minumum number of active elements.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

Mismatch Tolerant, Continuous Time, Rail to Rail, Gain Enhanced CMOS Amplifiers.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

A Sample-and-Hold Circuit with Very Low Gain Error for Time Interleaving Applications.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

Power-constrained Bandwidth Optimization in Cascaded Open-loop Amplifiers.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

2006
High-CMRR Current Amplifier Architecture and Its CMOS Implementation.
IEEE Trans. Circuits Syst. II Express Briefs, 2006

Inverting closed-loop amplifier architecture with reduced gain error and high input impedance.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A model for the distortion due to switch on-resistance in sample-and-hold circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A novel concept for stateless random bit generators in cryptographic applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Enhancing power analysis attacks against cryptographic devices.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Validation of a statistical non-linear model of GaAs HEMT MMIC's by hypothesis testing and principal components analysis.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Side channel analysis resistant design flow.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Three-Phase Dual-Rail Pre-charge Logic.
Proceedings of the Cryptographic Hardware and Embedded Systems, 2006

2005
A novel yield optimization technique for digital CMOS circuits design by means of process parameters run-time estimation and body bias active control.
IEEE Trans. Very Large Scale Integr. Syst., 2005

A 10-Gb/s CMU/CDR chip-set in SiGe BiCMOS commercial technology with multistandard capability.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Design and Test of a Novel Programmable Clock Generator Semi-Custom Core for Energy-Efficient Systems-on-Chips.
J. Low Power Electron., 2005

Analytic transient solution of SCFL logic gates.
Int. J. Circuit Theory Appl., 2005

CMOS single-to-differential current amplifier.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Optimized design of source coupled logic gates in GaAs HEMT technology.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

High-speed CMOS-to-ECL pad driver in 0.18µm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A countermeasure against differential power analysis based on random delay insertion.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

10-th order programmable low-pass CMOS integrated pulse-shaping filter.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A novel CMOS logic style with data independent power consumption.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Switched-capacitor body-biasing technique for very low voltage CMOS amplifiers.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

2-V CMOS current operational amplifier with high CMRR.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

2004
Yield Optimization by Means of Process Parameters Estimation: Comparison Between ABB and ASV Techniques.
Proceedings of the Integrated Circuit and System Design, 2004

A Power Consumption Randomization Countermeasure for DPA-Resistant Cryptographic Processors.
Proceedings of the Integrated Circuit and System Design, 2004

A high-speed low-voltage phase detector for clock recovery from NRZ data.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Robust three-state PFD architecture with enhanced frequency acquisition capabilities.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

2003
A High-Speed Oscillator-Based Truly Random Number Source for Cryptographic Applications on a Smart Card IC.
IEEE Trans. Computers, 2003

An accurate behavioral model of phase detectors for clock recovery circuits.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Current output stage with improved CMRR.
Proceedings of the 2003 10th IEEE International Conference on Electronics, 2003

A charge injection based CMOS charge-pump.
Proceedings of the 2003 10th IEEE International Conference on Electronics, 2003

2002
A high speed truly IC random number source for smart card microcontrollers.
Proceedings of the 2002 9th IEEE International Conference on Electronics, 2002

Bipolar differential cell with improved bandwidth performance.
Proceedings of the 2002 9th IEEE International Conference on Electronics, 2002

A tree-like amplifier architecture for large gain-bandwidth product.
Proceedings of the 2002 9th IEEE International Conference on Electronics, 2002

2001
An all-digital clock generator firm-core based on differential fine-tuned delay for reusable microprocessor cores.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

2000
A low-power clock and data recovery circuit for 2.5 Gb/s SDH receivers.
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

1999
A Low-Power Microcontroller with on-Chip Self-Tuning Digital Clock-Generator for Variable-Load Applications.
Proceedings of the IEEE International Conference On Computer Design, 1999

1998
A monolithic 2.5-Gb/s clock and data recovery circuit based on Silicon bipolar technology.
Proceedings of the Broadband European Networks and Multimedia Services, 1998


  Loading...