David D. Wentzloff

Orcid: 0000-0002-9308-8392

Affiliations:
  • University of Michigan, Ann Arbor, USA


According to our database1, David D. Wentzloff authored at least 96 papers between 2004 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
An Interference-Resilient Bit-Level Duty-Cycled ULP Receiver Leveraging a Dual-Chirp Modulation.
IEEE J. Solid State Circuits, February, 2024

2023
Modeling and Design of Cold-Start Charge Pumps for Photovoltaic Energy Harvesters.
IEEE Trans. Circuits Syst. I Regul. Pap., November, 2023

A Capacitor-Less Digital LDO Regulator With Synthesizable PID Controller Achieving 99.75% Efficiency and 93.3-ps Response Time in 65 nm.
IEEE Trans. Circuits Syst. II Express Briefs, May, 2023

PLL Fractional Spur's Impact on FSK Spectrum and a Synthesizable ADPLL for a Bluetooth Transmitter.
IEEE J. Solid State Circuits, May, 2023

Real-Time Classification of Radiation Pulses With Piled-Up Recovery Using an FPGA-Based Artificial Neural Network.
IEEE Access, 2023

Synthesizable ADPLL Generator: From Specification to GDS.
Proceedings of the 19th International Conference on Synthesis, 2023

An Automated Framework for Switched-Capacitor Power Amplifier Implementation Verified in 65 nm CMOS.
Proceedings of the 19th International Conference on Synthesis, 2023

A 2.19µW Self-Powered SoC with Integrated Multimodal Energy Harvesting, Dual-Channel up to -92dBm WRX and Energy-Aware Subsystem.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

An Open Source Compatible Framework to Fully Autonomous Digital LDO Generation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

2022
A 3.1-5.2GHz, Energy-Efficient Single Antenna, Cancellation-Free, Bitwise Time-Division Duplex Transceiver for High Channel Count Optogenetic Neural Interface.
IEEE Trans. Biomed. Circuits Syst., 2022

A 110µW 2.5kb/s -103dBm-Sensitivity Dual-Chirp Modulated ULP Receiver Achieving -41dB SIR.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

ULP Receivers in Self-Powered Industrial loT Applications: Challenges and Prospects.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

2021
A Crystal-Less BLE Transmitter With Clock Recovery From GFSK-Modulated BLE Packets.
IEEE J. Solid State Circuits, 2021

Bluetooth Communication Leveraging Ultra-Low Power Radio Design.
J. Sens. Actuator Networks, 2021

An Artificial Neural Network System for Photon-Based Active Interrogation Applications.
IEEE Access, 2021

Analysis of Design Trade-Offs in Ultra-Low-Power FSK Receivers for Phase-Based Ranging.
Proceedings of the IEEE Topical Conference on Wireless Sensors and Sensor Networks, 2021

A Fully Integrated 62-to-69GHz Crystal-Less Transceiver with 12 Channels Tuned by a Transmission-Line- Referenced FLL in 0.13µm BiCMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A Fully Integrated 2.7µW -70.2dBm-Sensitivity Wake-Up Receiver with Charge-Domain Analog Front-End, -16.5dB-SIR, FEC and Cryptographic Checksum.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

2020
Millimeter-Scale Node-to-Node Radio Using a Carrier Frequency-Interlocking IF Receiver for a Fully Integrated 4 $\times$ 4 $\times$ 4 mm<sup>3</sup> Wireless Sensor Node.
IEEE J. Solid State Circuits, 2020

Fully-Autonomous SoC Synthesis Using Customizable Cell-Based Analog and Mixed-Signal Circuits Generation.
Proceedings of the VLSI-SoC: Design Trends, 2020

An Open-source Framework for Autonomous SoC Design with Analog Block Generation.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020

27.1 A 65nm Energy-Harvesting ULP SoC with 256kB Cortex-M0 Enabling an 89.1µW Continuous Machine Health Monitoring Wireless Self-Powered System.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

30.7 A Crystal-Less BLE Transmitter with -86dBm Freq µ ency-Hopping Back-Channel WRX and Over-the-Air Clock Recovery from a GFSK-Modulated BLE Packet.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

An 85 nW IoT Node-Controlling SoC for MELs Power-Mode Management and Phantom Energy Reduction.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Ultra-Low Power Receivers for IoT Applications: A Review.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

2019
A 1.02 μW Battery-Less, Continuous Sensing and Post-Processing SiP for Wearable Applications.
IEEE Trans. Biomed. Circuits Syst., 2019

Analysis and Design of an Ultra-Low-Power Bluetooth Low-Energy Transmitter With Ring Oscillator-Based ADPLL and 4 $\times$ Frequency Edge Combiner.
IEEE J. Solid State Circuits, 2019

Enhanced Interference Rejection Bluetooth Low-Energy Back-Channel Receiver With LO Frequency Hopping.
IEEE J. Solid State Circuits, 2019

MURS Band for LPWAN Applications.
Proceedings of the IEEE Topical Conference on Wireless Sensors and Sensor Networks, 2019

A 606μW mm-Scale Bluetooth Low-Energy Transmitter Using Co-Designed 3.5×3.5mm<sup>2</sup> Loop Antenna and Transformer-Boost Power Oscillator.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

A Low Power Bluetooth Low-Energy Transmitter with a 10.5nJ Startup-Energy Crystal Oscillator.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

2018
A MURS Band Digital Quadrature Transmitter With Class-B I/Q Cell Sharing for Long Range IoT Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

Analysis of Circuit Noise and Non-Ideal Filtering Impact on Energy Detection Based Ultra-Low-Power Radios Performance.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

Implementation and Evaluation of Bi-Directional WiFi Back-channel Communication.
Proceedings of the 29th IEEE Annual International Symposium on Personal, 2018

A 2.5 ppm/°C 1.05 MHz Relaxation Oscillator with Dynamic Frequency-Error Compensation and 8 µs Start-up Time.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

A 470µW -92.5dBm OOK/FSK Receiver for IEEE 802.11 WiFi LP-WUR.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

A receiver/antenna co-design for a 1.5mJ per fix fully-integrated 10×10×6mm<sup>3</sup> GPS logger.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

A 152μW -99dBm BPSK/16-QAM OFDM Receiver for LPWAN Applications.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2018

Static timing analysis for ring oscillators.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Circuit and System Designs of Ultra-Low Power Sensor Nodes With Illustration in a Miniaturized GNSS Logger for Position Tracking: Part II - Data Communication, Energy Harvesting, Power Management, and Digital Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Circuit and System Designs of Ultra-Low Power Sensor Nodes With Illustration in a Miniaturized GNSS Logger for Position Tracking: Part I - Analog Circuit Techniques.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

A 4.4 nW lossless sensor data compression accelerator for 2.9x system power reduction in wireless body sensors.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

7.4 A 915MHz asymmetric radio using Q-enhanced amplifier for a fully integrated 3×3×3mm<sup>3</sup> wireless sensor node with 20m non-line-of-sight communication.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

2016
Hardware Accelerator for Probabilistic Inference in 65-nm CMOS.
IEEE Trans. Very Large Scale Integr. Syst., 2016

A 10 mm<sup>3</sup> Inductive Coupling Radio for Syringe-Implantable Smart Sensor Nodes.
IEEE J. Solid State Circuits, 2016

Back-Channel Wireless Communication Embedded in WiFi-Compliant OFDM Packets.
IEEE J. Sel. Areas Commun., 2016

Energy-Autonomous Wireless Communication for Millimeter-Scale Internet-of-Things Sensor Nodes.
IEEE J. Sel. Areas Commun., 2016

26.7 A 10mm3 syringe-implantable near-field radio system on glass substrate.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

26.8 A 236nW -56.5dBm-sensitivity bluetooth low-energy wakeup receiver with energy harvesting in 65nm CMOS.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

Software-Defined, WiFi and BLE Compliant Back-Channel for Ultra-Low Power Wireless Communication.
Proceedings of the 2016 IEEE Global Communications Conference, 2016

2015
8.1 nJ/b 2.4 GHz Short-Range Communication Receiver in 65 nm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

A 6.45 μW Self-Powered SoC With Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems.
IEEE Trans. Biomed. Circuits Syst., 2015

Reconfigurable Radios: A Possible Solution to Reduce Entry Costs in Wireless Phones.
Proc. IEEE, 2015

Flexible Technologies for Self-Powered Wearable Health and Environmental Sensing.
Proc. IEEE, 2015

A 10 mV-Input Boost Converter With Inductor Peak Current Control and Zero Detection for Thermoelectric and Solar Energy Harvesting With 220 mV Cold-Start and -14.5 dBm, 915 MHz RF Kick-Start.
IEEE J. Solid State Circuits, 2015

A 10.6mm<sup>3</sup> fully-integrated, wireless sensor node with 8GHz UWB transmitter.
Proceedings of the Symposium on VLSI Circuits, 2015

5.4 A 32nW bandgap reference voltage operational from 0.5V supply for ultra-low power systems.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

21.3 A 6.45μW self-powered IoT SoC with integrated energy-harvesting power management and ULP asymmetric radios.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

Ultra-low power wireless SoCs enabling a batteryless IoT.
Proceedings of the 2015 IEEE Hot Chips 27 Symposium (HCS), 2015

2014
Characterization of the Proximity Effect From Tungsten TSVs on 130-nm CMOS Devices in 3-D ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2014

A 1.2-MHz 5.8-µW Temperature-Compensated Relaxation Oscillator in 130-nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2014

A 10mV-input boost converter with inductor peak current control and zero detection for thermoelectric energy harvesting.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

A 5mW 250MS/s 12-bit synthesized digital to analog converter.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

2013
An Ultra-Low-Power 9.8 GHz Crystal-Less UWB Transceiver With Digital Baseband Integrated in 0.18 µm BiCMOS.
IEEE J. Solid State Circuits, 2013

A GSM-Based Clock-Harvesting Receiver With -87 dBm Sensitivity for Sensor Network Wake-Up.
IEEE J. Solid State Circuits, 2013

1.2 GS/s Hadamard Transform front-end for compressive sensing in 65nm CMOS.
Proceedings of the 2013 IEEE Radio and Wireless Symposium, 2013

An ultra-low-power 9.8GHz crystal-less UWB transceiver with digital baseband integrated in 0.18µm BiCMOS.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A 116nW multi-band wake-up receiver with 31-bit correlator and interference rejection.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

2012
A Clock-Harvesting Receiver Using 3G CDMA Signals in the 1900-MHz Band.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

Body Sensor Networks: A Holistic Approach From Silicon to Users.
Proc. IEEE, 2012

Exploiting Channel Periodicity in Body Sensor Networks.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

Impact of Compressed Sensing With Quantization on UWB Receivers With Multipath Channel Estimation.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

0.61W/mm<sup>2</sup> resonant inductively coupled power transfer for 3D-ICs.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

2011
A Cyclic Vernier TDC for ADPLLs Synthesized From a Standard Cell Library.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

An All-Digital 12 pJ/Pulse IR-UWB Transmitter Synthesized From a Standard Cell Library.
IEEE J. Solid State Circuits, 2011

A 60 GHz Antenna-Referenced Frequency-Locked Loop in 0.13 µ CMOS for Wireless Sensor Networks.
IEEE J. Solid State Circuits, 2011

Optimal receiver bandwidth for energy-detection PPM UWB systems.
Proceedings of the 2011 IEEE Wireless Communications and Networking Conference, 2011

A 60GHz antenna-referenced frequency-locked loop in 0.13μm CMOS for wireless sensor networks.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

Wireless wafer-level testing of integrated circuits via capacitively-coupled channels.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

An all-digital PLL synthesized from a digital standard cell library in 65nm CMOS.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

A 900 Mbps single-channel capacitive I/O link for wireless wafer-level testing of integrated circuits.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

2010
Special issue on breakthrough architectures for image and video systems.
Signal Process. Image Commun., 2010

A cyclic vernier time-to-digital converter synthesized from a 65nm CMOS standard library.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

IR-UWB transmitters synthesized from standard digital library components.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Recent advances in IR-UWB transceivers: An overview.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Harvesting a clock from a GSM signal for the wake-up of a wireless sensor network.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Wireless power transfer using resonant inductive coupling for 3D integrated ICs.
Proceedings of the IEEE International Conference on 3D System Integration, 2010

2009
Low-Power Impulse UWB Architectures and Circuits.
Proc. IEEE, 2009

2008
Ultra-low-power UWB for sensor network applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

2007
Pulse-based UWB transmitters for digital communication.
PhD thesis, 2007

A 47pJ/pulse 3.1-to-5GHz All-Digital UWB Transmitter in 90nm CMOS.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

Delay-Based BPSK for Pulsed-UWB Communication.
Proceedings of the IEEE International Conference on Acoustics, 2007

2005
Design Considerations for Ultra-Low Energy Wireless Microsensor Nodes.
IEEE Trans. Computers, 2005

System design considerations for ultra-wideband communication.
IEEE Commun. Mag., 2005

Direct Conversion Pulsed UWB Transceiver Architecture.
Proceedings of the 2005 Design, 2005

2004
Design Considerations for Next Generation Wireless Power-Aware Microsensor Nodes.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004


  Loading...