Morteza Saheb Zamani

Orcid: 0000-0002-0826-1091

According to our database1, Morteza Saheb Zamani authored at least 97 papers between 1995 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A parallel computing architecture based on cellular automata for hydraulic analysis of water distribution networks.
J. Parallel Distributed Comput., August, 2023

An energy-efficient and accuracy-aware edge computing framework for heart arrhythmia detection: A joint model selection and task offloading approach.
J. Supercomput., May, 2023

Low-overhead code concatenation approaches for universal quantum computation.
Quantum Inf. Process., January, 2023

2022
Runtime hardware Trojan detection by reconfigurable monitoring circuits.
J. Supercomput., 2022

FIFA: A Fully Invertible FPGA Architecture to Reduce BTI-Induced Aging Effects.
IEEE Trans. Computers, 2022

2021
Real-Time Activity Recognition and Intention Recognition Using a Vision-based Embedded System.
CoRR, 2021

2020
Aging Mitigation in FPGAs Considering Delay, Power, and Temperature.
IEEE Trans. Reliab., 2020

Combinational Counters: A Low Overhead Approach to Address DPA Attacks.
J. Circuits Syst. Comput., 2020

A system architecture for parallel analysis of flux-balanced metabolic pathways.
Comput. Biol. Chem., 2020

2018
A graph-based approach to analyze flux-balanced pathways in metabolic networks.
Biosyst., 2018

2017
Latch-Based Structure: A High Resolution and Self-Reference Technique for Hardware Trojan Detection.
IEEE Trans. Computers, 2017

Quantum Circuit Synthesis Targeting to Improve One-Way Quantum Computation Pattern Cost Metrics.
ACM J. Emerg. Technol. Comput. Syst., 2017

Geometry-Based Optimization of One-Way Quantum Computation Measurement Patterns.
CoRR, 2017

2016
Quantum-Logic Synthesis of Hermitian Gates.
ACM J. Emerg. Technol. Comput. Syst., 2016

FogLight: an efficient matrix-based approach to construct metabolic pathways by search space reduction.
Bioinform., 2016

2015
Improving hardware Trojan detection by retiming.
Microprocess. Microsystems, 2015

One-way quantum computer simulation.
Microprocess. Microsystems, 2015

A Trust-Driven Placement Approach: A New Perspective on Design for Hardware Trust.
J. Circuits Syst. Comput., 2015

GA-based approach to find the stabilizers of a given sub-space.
Genet. Program. Evolvable Mach., 2015

2014
Quantum circuit physical design methodology with emphasis on physical synthesis.
Quantum Inf. Process., 2014

Automatic translation of quantum circuits to optimized one-way quantum computation patterns.
Quantum Inf. Process., 2014

A study on the efficiency of hardware Trojan detection based on path-delay fingerprinting.
Microprocess. Microsystems, 2014

Decomposition of Diagonal Hermitian Quantum Gates Using Multiple-Controlled Pauli Z Gates.
ACM J. Emerg. Technol. Comput. Syst., 2014

2013
A quantum physical design flow using ILP and graph drawing.
Quantum Inf. Process., 2013

Depth-optimized reversible circuit synthesis.
Quantum Inf. Process., 2013

Improving bitstream compression by modifying FPGA architecture.
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013

2012
Timing yield improvement of FPGAs utilizing enhanced architectures and multiple configurations under process variation (abstract only).
Proceedings of the ACM/SIGDA 20th International Symposium on Field Programmable Gate Arrays, 2012

OWQS: One-Way Quantum Computation Simulator.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

Hardware Acceleration of STON Algorithm for Comparing 3-D Structure of Proteins.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

2011
Auxiliary qubit selection: a physical synthesis technique for quantum circuits.
Quantum Inf. Process., 2011

Block-based quantum-logic synthesis.
Quantum Inf. Comput., 2011

Mitigating soft errors in SRAM-based FPGAs by decoding configuration bits in switch boxes.
Microelectron. J., 2011

Improved predictability, timing yield and power consumption using hierarchical highways-on-chip planning methodology.
Integr., 2011

Evaluation of FPGA routing architectures under process variation.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

VMAP: A Variation Map-Aware Placement Algorithm for Leakage Power Reduction in FPGAs.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

TSV reduction in homogeneous 3D FPGAs by logic resource and input pad replication.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

2010
A library-based synthesis methodology for reversible logic.
Microelectron. J., 2010

Quantum physical synthesis: Improving physical design by netlist modifications.
Microelectron. J., 2010

Reversible circuit synthesis using a cycle-based approach.
ACM J. Emerg. Technol. Comput. Syst., 2010

Early Buffer Planning with Congestion Control Using Buffer Requirement Map.
J. Circuits Syst. Comput., 2010

Reduction of process variation effect on FPGAs using multiple configurations.
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010

A Switch Box Architecture to Mitigate Bridging and Short Faults in SRAM-Based FPGAs.
Proceedings of the 25th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2010

A decoder-based switch box to mitigate soft errors in SRAM-based FPGAs.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

Rule-based optimization of reversible circuits.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Improved performance and yield with chip master planning design methodology.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Improving Latency of Quantum Circuits by Gate Exchanging.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

Multi-domain clock skew scheduling-aware register placement to optimize clock distribution network.
Proceedings of the Design, Automation and Test in Europe, 2009

A cycle-based synthesis algorithm for reversible logic.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

A tileable switch module architecture for homogeneous 3D FPGAs.
Proceedings of the IEEE International Conference on 3D System Integration, 2009

2008
An architecture framework for an adaptive extensible processor.
J. Supercomput., 2008

Using metro-on-chip in physical design flow for congestion and routability improvement.
Microelectron. J., 2008

A fast IP routing lookup architecture for multi-gigabit switching routers based on reconfigurable systems.
Microprocess. Microsystems, 2008

Synthesis of reversible circuits using a moving forward strategy.
IEICE Electron. Express, 2008

Shared-PPRM: A Memory-Efficient Representation for Boolean Reversible Functions.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008

Simultaneous Gate Sizing and Skew Scheduling to Statistical Yield Improvement.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008

An Efficient Method to Estimate Crosstalk after Placement Incorporating a Reduction Scheme.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008

Performance Improvement of Physical Retiming with Shortcut Insertion.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008

FPGA-Based Circuit Model Emulation of Quantum Algorithms.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008

An Efficient Non-Tree Clock Routing Algorithm for Reducing Delay Uncertainty.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

Evaluation and Improvement of Quantum Synthesis Algorithms based on a Thorough Set of Metrics.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

Multi-Objective Statistical Yield Enhancement using Evolutionary Algorithm.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

Performance and Timing Yield Enhancement using Highway-on-Chip Planning.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

A Fast Transformation-Based Synthesis Algorithm for Reversible Circuits.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

A Novel Crosstalk Estimator after Placement.
Proceedings of the Advances in Computer Science and Engineering, 2008

Evaluating the Metro-on-Chip Methodology to Improve the Congestion and Routability.
Proceedings of the Advances in Computer Science and Engineering, 2008

Moving forward: A non-search based synthesis method toward efficient CNOT-based quantum circuit synthesis algorithms.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

Design space exploration for a coarse grain accelerator.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

Proposing an efficient method to estimate and reduce crosstalk after placement in VLSI circuits.
Proceedings of the 6th ACS/IEEE International Conference on Computer Systems and Applications, 2008

2007
Evaluation, prediction and reduction of routing congestion.
Microelectron. J., 2007

Improving Performance and Energy Saving in a Reconfigurable Processor via Accelerating Control Data Flow Graphs.
IEICE Trans. Inf. Syst., 2007

Metro-on-chip: an efficient physical design technique for congestion reduction.
IEICE Electron. Express, 2007

An efficient heterogeneous reconfigurable functional unit for an adaptive dynamic extensible processor.
Proceedings of the IFIP VLSI-SoC 2007, 2007

On the Behavior of Substitution-based Reversible Circuit Synthesis Algorithms: Investigation and Improvement.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

An Efficient Analytical Approach to Path-Based Buffer Insertion.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

Handling Control Data Flow Graphs for a Tightly Coupled Reconfigurable Accelerator.
Proceedings of the Embedded Software and Systems, [Third] International Conference, 2007

A novel synthesis algorithm for reversible circuits.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

An efficient net ordering algorithm for buffer insertion.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Improved timing closure by early buffer planning in floor-placement design flow.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Algebraic Characterization of CNOT-Based Quantum Circuits with its Applications on Logic Synthesis.
Proceedings of the Tenth Euromicro Conference on Digital System Design: Architectures, 2007

2006
An integrated temporal partitioning and physical design framework for static compilation of reconfigurable computing systems.
Microprocess. Microsystems, 2006

Multi-Level Buffer Block Planning and Buffer Insertion for Large Design Circuits.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006

Prediction and reduction of routing congestion.
Proceedings of the 2006 International Symposium on Physical Design, 2006

Reducing reconfiguration time of reconfigurable computing systems in integrated temporal partitioning and physical design framework.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

A Reconfigurable Functional Unit for an Adaptive Dynamic Extensible Processor.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006

Custom Instruction Generation Using Temporal Partitioning Techniques for a Reconfigurable Functional Unit.
Proceedings of the Embedded and Ubiquitous Computing, International Conference, 2006

GifT: A Gravity-Directed and Life-Time Based Algorithm for Temporal Partitioning of Data Flow Graphs.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006

An Integrated Temporal Partitioning and Mapping Framework for Handling Custom Instructions on a Reconfigurable Functional Unit.
Proceedings of the Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, 2006

2005
A Reconfigurable Architecture for Implementing Multiple Cipher Algorithms.
Proceedings of the 2005 IEEE International Conference on Field-Programmable Technology, 2005

Parallel Hardware Implementation of Cellular Learning Automata Based Evolutionary Computing (CLA-EC) on FPGA.
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005

Cellular Learning Automata based Evolutionary Computing (CLA-EC) for Intrinsic Hardware Evolution.
Proceedings of the 2005 NASA / DoD Conference on Evolvable Hardware (EH 2005), 29 June, 2005

Reducing Inter-Configuration Memory Usage and Performance Improvement in Reconfigurable Computing Systems.
Proceedings of the Eighth Euromicro Symposium on Digital Systems Design (DSD 2005), 30 August, 2005

A novel reconfigurable hardware architecture for IP address lookup.
Proceedings of the 2005 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2005

1999
An efficient method for placement of VLSI designs with Kohonen map.
Proceedings of the International Joint Conference Neural Networks, 1999

1995
A New Neural Network Approach to the Floorplanning of Hierarchical VLSI Designs.
Proceedings of the From Natural to Artificial Neural Computation, 1995

A Stepwise Refinement Algorithm for Integrated Floorplanning, Placement and Routing of Hierarchical Designs.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

Placement with self-organising neural networks.
Proceedings of International Conference on Neural Networks (ICNN'95), Perth, WA, Australia, November 27, 1995

A neural network approach to the placement problem.
Proceedings of the 1995 Conference on Asia Pacific Design Automation, Makuhari, Massa, Chiba, Japan, August 29, 1995


  Loading...