Hamid R. Zarandi

Orcid: 0000-0003-1385-4171

According to our database1, Hamid R. Zarandi authored at least 97 papers between 2003 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
ThingsDND: IoT Device Failure Detection and Diagnosis for Multi-User Smart Homes.
Proceedings of the 18th European Dependable Computing Conference, 2022

EARL: An Efficient Approximate HaRdware Framework for AcceLerating Fault Tree Analysis.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022

2021
CLEAR: A Cross-Layer Soft Error Rate Reduction Method Based on Mitigating DETs in Nanoscale Combinational Logics.
Microprocess. Microsystems, September, 2021

A High Performance, Multi-Bit Output Logic-in-Memory Adder.
IEEE Trans. Emerg. Top. Comput., 2021

2020
IRHT: An SDC detection and recovery architecture based on value locality of instruction binary codes.
Microprocess. Microsystems, 2020

DYSCO: DYnamic Stepper Current InjectOr to improve write performance in STT-RAM memories.
Microprocess. Microsystems, 2020

2019
ERPOT: A Quad-Criteria Scheduling Heuristic to Optimize Execution Time, Reliability, Power Consumption and Temperature in Multicores.
IEEE Trans. Parallel Distributed Syst., 2019

A meta heuristic-based task scheduling and mapping method to optimize main design challenges of heterogeneous multiprocessor embedded systems.
Microelectron. J., 2019

Lifetime Reliability Enhancement in Multiprocessor Systems Through a Fine-Grained System-Level Approach.
J. Circuits Syst. Comput., 2019

A Fast and Efficient Fault Tree Analysis Using Approximate Computing.
Proceedings of the 15th European Dependable Computing Conference, 2019

2018
HYSTERY: a hybrid scheduling and mapping approach to optimize temperature, energy consumption and lifetime reliability of heterogeneous multiprocessor systems.
J. Supercomput., 2018

A cross-layer aging-aware task scheduling approach for multiprocessor embedded systems.
Microelectron. Reliab., 2018

AligneR: A Process-in-Memory Architecture for Short Read Alignment in ReRAMs.
IEEE Comput. Archit. Lett., 2018

A Low-Cost Soft Error Tolerant Read Circuit for Single/Multi-Level Cross-Point RRAM Arrays.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

MOMENT: A Cross-Layer Method to Mitigate Multiple Event Transients in Combinational Circuits.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

DUSTER: DUal Source Write TERmination Method for STT-RAM Memories.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

2017
Fast Fault Tree Analysis for Hybrid Uncertainties Using Stochastic Logic Implemented on Field-Programmable Gate Arrays: An Application in Quantitative Assessment and mitigation of Welding Defects Risk.
Qual. Reliab. Eng. Int., 2017

Designing a differential 3R-2bit RRAM cell for enhancing read margin in cross-point RRAM arrays.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2017

Soft error analysis of MTJ-based logic-in-memory full adder: Threats and solution.
Proceedings of the 23rd IEEE International Symposium on On-Line Testing and Robust System Design, 2017

Context-Aware Anomaly Detection in Embedded Systems.
Proceedings of the Advances in Dependability Engineering of Complex Systems, 2017

2016
Using instruction result locality and re-execution to mitigate silent data corruptions.
Microelectron. Reliab., 2016

Double Stairs: A Fault-Tolerant Routing Algorithm for Networks-on-Chip.
J. Circuits Syst. Comput., 2016

Leveraging the Potential of Control-Flow Error Resilient Techniques in Multithreaded Programs.
CoRR, 2016

Postponing wearout failures in chip multiprocessors using thermal management and thread migration.
Proceedings of the 11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, 2016

An Efficient Soft Error Detection in Multicore Processors Running Server Applications.
Proceedings of the 24th Euromicro International Conference on Parallel, 2016

MWPF: A Deadlock Avoidance Fully Adaptive Routing Algorithm in Networks-on-Chip.
Proceedings of the 24th Euromicro International Conference on Parallel, 2016

A Cluster-Based Method to Detect and Correct Anomalies in Sensor Data of Embedded Systems.
Proceedings of the 24th Euromicro International Conference on Parallel, 2016

Gate Merging: An NBTI Mitigation Method to Eliminate Critical Internal Nodes in Digital Circuits.
Proceedings of the 24th Euromicro International Conference on Parallel, 2016

Accelerating Dynamic Fault Tree Analysis Based on Stochastic Logic Utilizing GPGPUs.
Proceedings of the 24th Euromicro International Conference on Parallel, 2016

2015
Design space exploration of non-uniform cache access for soft-error vulnerability mitigation.
Microelectron. Reliab., 2015

Probabilistic analysis of dynamic and temporal fault trees using accurate stochastic logic gates.
Microelectron. Reliab., 2015

2014
Cache vulnerability mitigation using an adaptive cache coherence protocol.
J. Supercomput., 2014

DFTS: A dynamic fault-tolerant scheduling for real-time tasks in multicore processors.
Microprocess. Microsystems, 2014

Reliability improvement in private non-uniform cache architecture using two enhanced structures for coherence protocols and replacement policies.
Microprocess. Microsystems, 2014

Fxy: a Hierarchical Routing Algorithm to Balance Performance and Fault Tolerance in Networks-on-Chip.
J. Circuits Syst. Comput., 2014

2013
A Fast and Accurate Fault Tree Analysis Based on Stochastic Logic Implemented on Field-Programmable Gate Arrays.
IEEE Trans. Reliab., 2013

A parallel clustering algorithm on the star graph and its performance.
Math. Comput. Model., 2013

A fault-tolerant core mapping technique in networks-on-chip.
IET Comput. Digit. Tech., 2013

A Reliability-Aware Multi-application Mapping Technique in Networks-on-Chip.
Proceedings of the 21st Euromicro International Conference on Parallel, 2013

2012
An efficient adaptive software-implemented technique to detect control-flow errors in multi-core architectures.
Microelectron. Reliab., 2012

Two effective methods to detect anomalies in embedded systems.
Microelectron. J., 2012

A fault-aware low-energy spare core allocation in networks-on-chip.
Proceedings of the NORCHIP 2012, Copenhagen, Denmark, November 12-13, 2012, 2012

Dirty data vulnerability mitigation by means of sharing management in cache coherence protocols.
Proceedings of the 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2012

A Fault-Tolerant Low-Energy Multi-Application Mapping onto NoC-based Multiprocessors.
Proceedings of the 15th IEEE International Conference on Computational Science and Engineering, 2012

Susceptibility Analysis of LEON3 Embedded Processor against Multiple Event Transients and Upsets.
Proceedings of the 15th IEEE International Conference on Computational Science and Engineering, 2012

2011
An adaptive method to tolerate soft errors in SRAM-based FPGAs.
Sci. Iran., 2011

Mitigating soft errors in SRAM-based FPGAs by decoding configuration bits in switch boxes.
Microelectron. J., 2011

Investigation of transient fault effects in synchronous and asynchronous Network on Chip router.
J. Syst. Archit., 2011

HVD: horizontal-vertical-diagonal error detecting and correcting code to protect against with soft errors.
Des. Autom. Embed. Syst., 2011

Mitigation of soft errors in SRAM-based FPGAs using CAD tools.
Comput. Electr. Eng., 2011

Control-flow error detection using combining basic and program-level checking in commodity multi-core architectures.
Proceedings of the Industrial Embedded Systems (SIES), 2011

A Fault-Tolerant, Dynamically Scheduled Pipeline Structure for Chip Multiprocessors.
Proceedings of the Computer Safety, Reliability, and Security, 2011

Low-Cost Software-Implemented Error Detection Technique.
Proceedings of the International Symposium on Electronic System Design, 2011

Fault-tolerance assessment and enhancement in SoCWire interface: A system-on-chip wire.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

Control-flow error recovery using commodity multi-core architecture features.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

CPU-aware, process-level redundancy to tolerate faults in multi-core.
Proceedings of the 2011 International Conference on High Performance Computing & Simulation, 2011

An efficient, dynamically adaptive method to tolerate transient faults in multi-core systems.
Proceedings of the 13th European Workshop on Dependable Computing, 2011

Soft Error Detection Technique in Multi-threaded Architectures Using Control-Flow Monitoring.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

2010
Performance modeling of n-dimensional mesh networks.
Perform. Evaluation, 2010

Two effective methods to mitigate soft error effects in SRAM-based FPGAs.
Microelectron. Reliab., 2010

Process variation-aware performance analysis of asynchronous circuits.
Microelectron. J., 2010

Two Efficient Software Techniques to Detect and Correct Control-Flow Errors.
Proceedings of the 16th IEEE Pacific Rim International Symposium on Dependable Computing, 2010

Investigation of Transient Fault Effects in an Asynchronous NoC Router.
Proceedings of the 18th Euromicro Conference on Parallel, 2010

Statistical Leakage Power Optimization of Asynchronous Circuits Considering Process Variations.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2010

A Probabilistic Method to Detect Anomalies in Embedded Systems.
Proceedings of the 25th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2010

A decoder-based switch box to mitigate soft errors in SRAM-based FPGAs.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

Analysis of Transient Faults on a MIPS-Based Dual-Core Processor.
Proceedings of the ARES 2010, 2010

An Adaptive Redundancy Oriented Method to Tolerate Soft Errors in SRAM-Based FPGAs Using Unused Resources.
Proceedings of the ARES 2010, 2010

2009
A New CLB Architecture for Tolerating SEU in SRAM-Based FPGAs.
Proceedings of the ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, 2009

Process Variation Aware Performance Analysis of Asynchronous Circuits Considering Spatial Correlation.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009

Fault tolerance assessment of PIC microcontroller based on fault injection.
Proceedings of the 10th Latin American Test Workshop, 2009

Diagnosis of faults in template-based asynchronous circuits.
Proceedings of the 2008 IEEE International Symposium on System-on-Chip, 2009

Fault injection-based evaluation of a synchronous NoC router.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

A Fault Injection Attitude based on Background Debug Mode in Embedded Systems.
Proceedings of the 2009 International Conference on Computer Design, 2009

An Analysis of Fault Effects and Propagations in AVR Microcontroller ATmega103(L).
Proceedings of the The Forth International Conference on Availability, 2009

2007
A SEU-protected cache memory-based on variable associativity of sets.
Reliab. Eng. Syst. Saf., 2007

Dependability evaluation of Altera FPGA-based embedded systems subjected to SEUs.
Microelectron. Reliab., 2007

SEU-Mitigation Placement and Routing Algorithms and Their Impact in SRAM-Based FPGAs.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

CAD-Directed SEU Susceptibility Reduction in FPGA Circuits Designs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Soft Error Mitigation in Switch Modules of SRAM-based FPGAs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

CLB-based Detection and Correction of Bit-flip faults in SRAM-based FPGAs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Multiple Upsets Tolerance in SRAM Memory.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs.
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007

Matrix Codes: Multiple Bit Upsets Tolerant Method for SRAM Memories.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007

2006
A fault-tolerant cache architecture based on binary set partitioning.
Microelectron. Reliab., 2006

Hierarchical Set-Associate Cache for High-Performance and Low-Energy Architecture.
J. Circuits Syst. Comput., 2006

2005
Hierarchical Binary Set Partitioning in Cache Memories.
J. Supercomput., 2005

Soft Error Mitigation in Cache Memories of Embedded Systems by Means of a Protected Scheme.
Proceedings of the Dependable Computing, Second Latin-American Symposium, 2005

Hierarchical Multiple Associative Mapping in Cache Memories.
Proceedings of the 12th IEEE International Conference on the Engineering of Computer-Based Systems (ECBS 2005), 2005

2004
A Highly Fault Detectable Cache Architecture for Dependable Computing.
Proceedings of the Computer Safety, 2004

Evaluation of Fault-Tolerant Designs Implemented on SRAM-Based FPGAs.
Proceedings of the 10th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2004), 2004

Fault Detection Enhancement in Cache Memories Using a High Performance Placement Algorithm.
Proceedings of the 10th IEEE International On-Line Testing Symposium (IOLTS 2004), 2004

A Mixed-Mode Simulation-Based Environment to Test and Dependability Assessment of HDL Models.
Proceedings of the International Conference on Embedded Systems and Applications, 2004

2003
Fault Injection into Verilog Models for Dependability Evaluation of Digital Systems.
Proceedings of the 2nd International Symposium on Parallel and Distributed Computing (ISPDC 2003), 2003

Fault injection into SRAM-based FPGAs for the analysis of SEU effects.
Proceedings of the 2003 IEEE International Conference on Field-Programmable Technology, 2003

A Hybrid Fault Injection Approach Based on Simulation and Emulation Co-operation.
Proceedings of the 2003 International Conference on Dependable Systems and Networks (DSN 2003), 2003

Dependability Analysis Using a Fault Injection Tool Based on Synthesizability of HDL Models.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003


  Loading...