Behzad Salami

Orcid: 0000-0003-4043-5044

Affiliations:
  • Barcelona Supercomputing Center, Spain


According to our database1, Behzad Salami authored at least 36 papers between 2011 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Makinote: An FPGA-Based HW/SW Platform for Pre-Silicon Emulation of RISC-V Designs.
Proceedings of the 16th Workshop on Rapid Simulation and Performance Evaluation for Design, 2024

2023
PiDRAM: A Holistic End-to-end FPGA-based Framework for Processing-in-DRAM.
ACM Trans. Archit. Code Optim., March, 2023

Understanding Read Disturbance in High Bandwidth Memory: An Experimental Analysis of Real HBM2 DRAM Chips.
CoRR, 2023

An Experimental Analysis of RowHammer in HBM2 DRAM Chips.
Proceedings of the 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2023

2022
MoRS: An Approximate Fault Modeling Framework for Reduced-Voltage SRAMs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Can We Trust Undervolting in FPGA-Based Deep Learning Designs at Harsh Conditions?
IEEE Micro, 2022

TuRaN: True Random Number Generation Using Supply Voltage Underscaling in SRAMs.
CoRR, 2022

NEON: Enabling Efficient Support for Nonlinear Operations in Resistive RAM-based Neural Network Accelerators.
CoRR, 2022

PiDRAM: An FPGA-based Framework for End-to-end Evaluation of Processing-in-DRAM Techniques.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

2021
MoRS: An Approximate Fault Modelling Framework for Reduced-Voltage SRAMs.
CoRR, 2021

On the Impact of Device-Level Techniques on Energy-Efficiency of Neural Network Accelerators.
CoRR, 2021

Reduced-voltage OmpSs@FPGA: A Demonstration.
Proceedings of the 31st International Conference on Field-Programmable Logic and Applications, 2021

Understanding Power Consumption and Reliability of High-Bandwidth Memory with Voltage Underscaling.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Exceeding Conservative Limits: A Consolidated Analysis on Modern Hardware Margins.
CoRR, 2020

Power and Accuracy of Multi-Layer Perceptrons (MLPs) under Reduced-voltage FPGA BRAMs Operation.
CoRR, 2020

On the Resilience of Deep Learning for Reduced-voltage FPGAs.
Proceedings of the 28th Euromicro International Conference on Parallel, 2020

Demonstrating Reduced-Voltage FPGA-Based Neural Network Acceleration for Power-Efficiency.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

An Experimental Study of Reduced-Voltage Operation in Modern FPGAs for Neural Network Acceleration.
Proceedings of the 50th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2020


2019
LEGaTO: Low-Energy, Secure, and Resilient Toolset for Heterogeneous Computing.
CoRR, 2019

Hardware Versus Software Fault Injection of Modern Undervolted SRAMs.
CoRR, 2019

Evaluating Built-In ECC of FPGA On-Chip Memories for the Mitigation of Undervolting Faults.
Proceedings of the 27th Euromicro International Conference on Parallel, 2019

Modern Hardware Margins: CPUs, GPUs, FPGAs Recent System-Level Studies.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

A Novel FPGA-Based High Throughput Accelerator For Binary Search Trees.
Proceedings of the 17th International Conference on High Performance Computing & Simulation, 2019

2018
Aggressive undervolting of FPGAs : power & reliability trade-offs.
PhD thesis, 2018

On the Resilience of RTL NN Accelerators: Fault Characterization and Mitigation.
Proceedings of the 30th International Symposium on Computer Architecture and High Performance Computing, 2018


Comprehensive Evaluation of Supply Voltage Underscaling in FPGA on-Chip Memories.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

A Demo of FPGA Aggressive Voltage Downscaling: Power and Reliability Tradeoffs.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

Fault Characterization Through FPGA Undervolting.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018


2017
AxleDB: A novel programmable query processing platform on FPGA.
Microprocess. Microsystems, 2017

2016
Hardware Acceleration for Query Processing: Leveraging FPGAs, CPUs, and Memory.
Comput. Sci. Eng., 2016

Accelerating Hash-Based Query Processing Operations on FPGAs by a Hash Table Caching Technique.
Proceedings of the High Performance Computing - Third Latin American Conference, 2016

2015
HATCH: Hash Table Caching in Hardware for Efficient Relational Join on FPGA.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

2011
VMAP: A Variation Map-Aware Placement Algorithm for Leakage Power Reduction in FPGAs.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011


  Loading...