Mounir Benabdenbi

According to our database1, Mounir Benabdenbi authored at least 32 papers between 2000 and 2021.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
FPU Reduced Variable Precision in Time: Application to the Jacobi Iterative Method.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

2020
FPU Bit-Width Optimization for Approximate Computing: A Non-Intrusive Approach.
Proceedings of the 15th Design & Technology of Integrated Systems in Nanoscale Era, 2020

2019
On-Line Adjustable Precision Computing.
Proceedings of the 14th International Conference on Design & Technology of Integrated Systems In Nanoscale Era, 2019

2018
Test and Reliability in Approximate Computing.
J. Electron. Test., 2018

2015
Application-independent testing of multilevel interconnect in mesh-based FPGAs.
Proceedings of the 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2015

2014
On-the-Field Test and Configuration Infrastructure for 2-D-Mesh NoCs in Shared-Memory Many-Core Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Fault-tolerant adaptive routing under an unconstrained set of node and link failures for many-core systems-on-chip.
Microprocess. Microsystems, 2014

Impact of Cluster Size on Routability, Testability and Robustness of a Cluster in a Mesh FPGA.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Cost-efficient of a cluster in a mesh SRAM-based FPGA.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

System on chip project: Integration of a Motion-JPEG video decoder.
Proceedings of the 10th European Workshop on Microelectronics Education (EWME), 2014

2013
Fault-tolerant adaptive routing under permanent and temporary failures for many-core systems-on-chip.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

A defect-tolerant cluster in a mesh SRAM-based FPGA.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013

BIST for logic and local interconnect resources in a novel mesh of cluster FPGA.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

2012
CSL: Configurable Fault Tolerant Serial Links for Inter-die Communication in 3D Systems.
J. Electron. Test., 2012

Kth-Aggressor Fault (KAF)-based Thru-Silicon-Via Interconnect Built-In Self-Test and Diagnosis.
J. Electron. Test., 2012

Efficient link-level error resilience in 3D NoCs.
Proceedings of the IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2012

2011
Localization of damaged resources in NoC based shared-memory MP2SOC, using a Distributed Cooperative Configuration Infrastructure.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Configurable Thru-Silicon-Via interconnect Built-In Self-Test and diagnosis.
Proceedings of the 12th Latin American Test Workshop, 2011

2010
Fully distributed initialization procedure for a 2D-Mesh NoC, including off-line BIST and partial deactivation of faulty components.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

Configurable serial fault-tolerant link for communication in 3D integrated systems.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

Distributed online software monitoring of manycore architectures.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

Configurable fault-tolerant link for inter-die communication in 3D on-chip networks.
Proceedings of the 15th European Test Symposium, 2010

Fault tolerant communication in 3D integrated systems.
Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W 2010), Chicago, Illinois, USA, June 28, 2010

An in-memory monitoring database for self adaptive MP<sup>2</sup>SoCs.
Proceedings of the 2010 Conference on Design & Architectures for Signal & Image Processing, 2010

2009
On-line test and monitoring of multi-processor SoCs: A software-based approach.
Proceedings of the 10th Latin American Test Workshop, 2009

2007
At-Speed Testing of Core-Based System-on-Chip Using an Embedded Micro-Tester.
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007

2006
MP-SoC Architecture for an Obstacle Detection Application in Pre-Crash Situation.
Proceedings of the 2nd International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2006

2004
STEPS: Experimenting a New Software-Based Strategy for Testing SoCs Containing P1500-Compliant IP Cores.
Proceedings of the 2004 Design, 2004

2002
CAS-BUS: A Test Access Mechanism and a Toolbox Environment for Core-Based System Chip Testing.
J. Electron. Test., 2002

2001
Testing TAPed cores and wrapped cores with the same test access mechanism.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

2000
Solving the I/O Bandwidth Problem in System on a Chip Testing.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000

CAS-BUS: A Scalable and Reconfigurable Test Access Mechanism for Systems on a Chip.
Proceedings of the 2000 Design, 2000


  Loading...