Michael Nicolaidis

Orcid: 0000-0003-1091-9339

According to our database1, Michael Nicolaidis authored at least 165 papers between 1988 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Reducing Power Dissipation in Memory Repair for High Fault Rates.
IEEE Trans. Very Large Scale Integr. Syst., December, 2023

2021
The Quest of the Ideal Error Detecting Architecture: The GRAAL Architecture.
IEEE Trans. Sustain. Comput., 2021

2020
A Dynamic Sufficient Condition of Deadlock-Freedom for High-Performance Fault-Tolerant Routing in Networks-on-Chips.
IEEE Trans. Emerg. Top. Comput., 2020

Iterative Diagnosis Approach for ECC-Based Memory Repair.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

An ECC-Based Repair Approach with an Offset-Repair CAM for Mitigating the MBUs Affecting Repair CAM.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

2018
Reducing Rollback Cost in VLSI Circuits to Improve Fault Tolerance.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Designing reliable processor cores in ultimate CMOS and beyond: A double sampling solution.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
A Framework for Scalable TSV Assignment and Selection in Three-Dimensional Networks-on-Chips.
VLSI Design, 2017

MINI-ESPADA: A low-cost fully adaptive routing mechanism for Networks-on-Chips.
Proceedings of the 18th IEEE Latin American Test Symposium, 2017

Low cost rollback to improve fault-tolerance in VLSI circuits.
Proceedings of the 8th IEEE Latin American Symposium on Circuits & Systems, 2017

Rout3D: A lightweight adaptive routing algorithm for tolerating faulty vertical links in 3D-NoCs.
Proceedings of the 22nd IEEE European Test Symposium, 2017

Detailed and highly parallelizable cycle-accurate network-on-chip simulation on GPGPU.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Test Algorithms for ECC-Based Memory Repair in Ultimate CMOS and Post-CMOS.
IEEE Trans. Computers, 2016

Advanced double-sampling architectures.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

Addressing transient routing errors in fault-tolerant Networks-on-Chips.
Proceedings of the 21th IEEE European Test Symposium, 2016

A new approach to deadlock-free fully adaptive routing for high-performance fault-tolerant NoCs.
Proceedings of the 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2016

2015
Memory repair for high defect densities.
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015

Low-power memory repair for high defect densities.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

MUGEN: A high-performance fault-tolerant routing algorithm for unreliable Networks-on-Chip.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

2014
Fault-tolerant adaptive routing under an unconstrained set of node and link failures for many-core systems-on-chip.
Microprocess. Microsystems, 2014

Congestion-Aware Adaptive Routing in 2D-Mesh Multicores.
Proceedings of the 2014 IEEE 13th International Symposium on Network Computing and Applications, 2014

A generic and high-level model of large unreliable NoCs for fault tolerance and performance analysis.
Proceedings of the 19th IEEE European Test Symposium, 2014

2013
A Practical Approach to Single Event Transient Analysis for Highly Complex Design.
J. Electron. Test., 2013

Using Error Correcting Codes Without Speed Penalty in Embedded Memories: Algorithm, Implementation and Case Study.
J. Electron. Test., 2013

An iterative diagnosis approach for ECC-based memory repair.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Hot topic session 4A: Reliability analysis of complex digital systems.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Clustering techniques and statistical fault injection for selective mitigation of SEUs in flip-flops.
Proceedings of the International Symposium on Quality Electronic Design, 2013

Transparent BIST for ECC-based memory repair.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Fault-tolerant adaptive routing under permanent and temporary failures for many-core systems-on-chip.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Reducing power dissipation in memory repair for high defect densities.
Proceedings of the 18th IEEE European Test Symposium, 2013

Variability-aware and fault-tolerant self-adaptive applications for many-core chips.
Proceedings of the 18th IEEE European Test Symposium, 2013

Reliability challenges of real-time systems in forthcoming technology nodes.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
CSL: Configurable Fault Tolerant Serial Links for Inter-die Communication in 3D Systems.
J. Electron. Test., 2012

Biologically Inspired Robust Tera-Device Processors.
IEEE Des. Test, 2012

Test algorithms for ECC-based memory repair in nanotechnologies.
Proceedings of the 30th IEEE VLSI Test Symposium, 2012

Through-silicon-via built-in self-repair for aggressive 3D integration.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

RIIF - Reliability information interchange format.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

Design for test and reliability in ultimate CMOS.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Self-Recovering Parallel Applications in Multi-core Systems.
Proceedings of The Tenth IEEE International Symposium on Networking Computing and Applications, 2011

Memory BIST with address programmability.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

Variability-aware task mapping strategies for many-cores processor chips.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

Towards a tool for implementing delay-free ECC in embedded memories.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

Efficient Fault Detection Architecture Design of Latch-Based Low Power DSP/MCU Processor.
Proceedings of the 16th European Test Symposium, 2011

I-BIRAS: Interconnect Built-In Self-Repair and Adaptive Serialization in 3D Integrated Systems.
Proceedings of the 16th European Test Symposium, 2011

A Practical Approach to Single Event Transients Analysis for Highly Complex Designs.
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011

Eliminating speed penalty in ECC protected memories.
Proceedings of the Design, Automation and Test in Europe, 2011

A fault-tolerant deadlock-free adaptive routing for on chip interconnects.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
Fault-Tolerant Deadlock-Free Adaptive Routing for Any Set of Link and Node Failures in Multi-cores Systems.
Proceedings of The Ninth IEEE International Symposium on Networking Computing and Applications, 2010

Interconnect Built-In Self-Repair and Adaptive-Serialization (I-BIRAS) for 3D integrated systems.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

Fourth workshop on dependable and secure nanocomputing.
Proceedings of the 2010 IEEE/IFIP International Conference on Dependable Systems and Networks, 2010

2009
An effective approach to detect logic soft errors in digital circuits based on GRAAL.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

Enhanced self-configurability and yield in multicore grids.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

Variability and reliability-aware application tasks scheduling and power control (Voltage and Frequency Scaling) in the future nanoscale multiprocessors system on chip.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

Third workshop on dependable and secure nanocomputing.
Proceedings of the 2009 IEEE/IFIP International Conference on Dependable Systems and Networks, 2009

2008
Towards a holistic CAD platform for nanotechnologies.
Microelectron. J., 2008

Low-Cost Highly-Robust Hardened Cells Using Blocking Feedback Transistors.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

Special Session 2: Benchmarking and Standardization in Software-Based SER Characterization: Towards an IEEE Task Force?
Proceedings of the 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 2008

Second workshop on dependable and secure nanocomputing.
Proceedings of the 38th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2008

2007
Defects Tolerant Logic Gates for Unreliable Future Nanotechnologies.
Proceedings of the Computational and Ambient Intelligence, 2007

GRAAL: a new fault tolerant design paradigm for mitigating the flaws of deep nanometric technologies.
Proceedings of the 2007 IEEE International Test Conference, 2007

GRAAL: A Fault-Tolerant Architecture for Enabling Nanometric Technologies.
Proceedings of the 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007

Workshop on Dependable and Secure Nanocomputing.
Proceedings of the 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2007

2006
Session Abstract.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

Multiple Defect Tolerant Devices for Unreliable Future Nanotechnologies.
Proceedings of the 7th Latin American Test Workshop, 2006

A Low-Cost Single-Event Latchup Mitigation Sscheme.
Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 2006

From Nuclear Reaction to System Failures: Can We Address All Levels of Soft Errors Accurately?
Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 2006

A Transparent based Programmable Memory BIST.
Proceedings of the 11th European Test Symposium, 2006

2005
Memory Defect Tolerance Architectures for Nanotechnologies.
J. Electron. Test., 2005

Programmable memory BIST.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Design for Mitigation of Single Event Effects.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005

Radiation Induced Single-Word Multiple-Bit Upsets Correction in SRAM.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005

Simulation and Mitigation of Single Event Effects.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005

An Efficient BICS Design for SEUs Detection and Correction in Semiconductor Memories.
Proceedings of the 2005 Design, 2005

2004
Simulating Single Event Transients in VDSM ICs for Ground Level Radiation.
J. Electron. Test., 2004

A Diversified Memory Built-In Self-Repair Approach for Nanotechnologies.
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004

Evaluation of Memory Built-in Self Repair Techniques for High Defect Density Technologie.
Proceedings of the 10th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2004), 2004

2003
Carry checking/parity prediction adders and ALUs.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Reliability Threats in VDSM - Shortcomings in Conventional Test and Fault-Tolerance Alternatives.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

Memory Built-In Self-Repair for Nanotechnologies.
Proceedings of the 9th IEEE International On-Line Testing Symposium (IOLTS 2003), 2003

Dynamic Data-bit Memory Built-In Self- Repair.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

A Memory Built-In Self-Repair for High Defect Densities Based on Error Polarities.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

Optimal Reconfiguration Functions for Column or Data-bit Built-In Self-Repair.
Proceedings of the 2003 Design, 2003

2002
Guest Editorial.
J. Electron. Test., 2002

Embedded Robustness IPs for Transient-Error-Free ICs.
IEEE Des. Test Comput., 2002

Soft Error Protection for Embedded Memories.
Proceedings of the 10th IEEE International Workshop on Memory Technology, 2002

Robustness IPs for Reliability and Security of SoCs.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

New Methods for Evaluating the Impact of Single Event Transients in VDSM ICs.
Proceedings of the 17th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2002), 2002

IP for Embedded Robustness.
Proceedings of the 2002 Design, 2002

Embedded Robustness Ips.
Proceedings of the 2002 Design, 2002

2001
Soft Errors and Tolerance for Soft Errors.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

Designing and Implementing Efficient BISR Techniques for Embedded RAMs.
Proceedings of the 2nd Latin American Test Workshop, 2001

Fail-Safe Synchronization Circuit for Duplicated Systems.
Proceedings of the 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 2001

2000
Self-Checking Circuits versus Realistic Faults in Very Deep Submicron.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000

Evaluation of a Soft Error Tolerance Technique Based on Time and/or Space Redundancy.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000

ISIS: A Fail-Safe Interface Realized in Smart Power Technology.
Proceedings of the 6th IEEE International On-Line Testing Workshop (IOLTW 2000), 2000


Cost Reduction and Evaluation of a Temporary Faults Detecting Technique.
Proceedings of the 2000 Design, 2000

1999
Guest Editorial.
J. Electron. Test., 1999

Fault-Secure Parity Prediction Booth Multipliers.
IEEE Des. Test Comput., 1999

A Digital BIST for Operational Amplifiers Embedded in Mixed-Signal Circuits.
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999

Time Redundancy Based Soft-Error Tolerance to Rescue Nanometer Technologies.
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999

Built-In Current Sensor for IDDQ Testing in Deep Submicron CMOS.
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999

A New Placement Algorithm Dedicated to Parallel Computers: Bases and Application.
Proceedings of the 1999 Pacific Rim International Symposium on Dependable Computing (PRDC 1999), 1999

On-Line BIST for Testing Analog Circuits.
Proceedings of the IEEE International Conference On Computer Design, 1999

On Path Delay Fault Testing of Multiplexer - Based Shifters.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

A One-Bit-Signature BIST for Embedded Operational Amplifiers in Mixed-Signal Circuits Based on the Slew-Rate Detection.
Proceedings of the 1999 Design, 1999

A CAD Framework for Generating Self-Checking 1 Multipliers Based on Residue Codes.
Proceedings of the 1999 Design, 1999

Scaling Deeper to Submicron: On-Line Testing to the Rescue.
Proceedings of the 1999 Design, 1999

1998
Fail-Safe Interfaces for VLSI: Theoretical Foundations and Implementation.
IEEE Trans. Computers, 1998

On-line testing for VLSI: state of the art and trends.
Integr., 1998

On-Line Testing for VLSI - A Compendium of Approaches.
J. Electron. Test., 1998

Efficient Totally Self-Checking Shifter Design.
J. Electron. Test., 1998

Guest Editors' Introduction: Online VLSI Testing.
IEEE Des. Test Comput., 1998

Design for soft-error robustness to rescue deep submicron scaling.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

Scaling Deeper to Submicron: On-Line Testing to the Rescue.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

Current-based testing for analog and mixed-signal circuits.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

Fault Detection for Linear Analog Circuits Using Current Injection.
Proceedings of the 1998 Design, 1998

Design of Fault-Secure Parity-Prediction Booth Multipliers.
Proceedings of the 1998 Design, 1998

An Approach to the On-Line Testing of Operational Amplifiers.
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998

1997
Fault-Secure Parity Prediction Arithmetic Operators.
IEEE Des. Test Comput., 1997

On-Line Testing for VLSI.
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997

Fault-secure shifter design: results and implementations.
Proceedings of the European Design and Test Conference, 1997

1996
Theory of Transparent BIST for RAMs.
IEEE Trans. Computers, 1996

Enhancing realistic fault secureness in parity prediction array arithmetic operators by I<sub>DDQ</sub> monitoring.
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996

Can Defect-Tolerant Chips Better Meet the Quality Challenge?
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996

Achieving Fault Secureness in Parity Prediction Arithmetic Operators: General Conditions and Implementations.
Proceedings of the 1996 European Design and Test Conference, 1996

E-Groups: A New Technique for Fast Backward Propagation in System Level Test Generation.
Proceedings of the 5th Asian Test Symposium (ATS '96), 1996

1995
Testing complex couplings in multiport memories.
IEEE Trans. Very Large Scale Integr. Syst., 1995

A strongly code disjoint built-in current sensor for strongly fault-secure static CMOS realizations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Efficient UBIST implementation for microprocessor sequencing parts.
J. Electron. Test., 1995

A tool for automatic generation of self-checking data paths.
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995

An Approach for Designing Total-Dose Tolerant MCMs Based on Current Monitoring.
Proceedings of the Proceedings IEEE International Test Conference 1995, 1995

Exact Aliasing Computation for RAM BIST.
Proceedings of the Proceedings IEEE International Test Conference 1995, 1995

Upset-Tolerant CMOS SRAM Using Current Monitoring: Prototype and Test Experiments.
Proceedings of the Proceedings IEEE International Test Conference 1995, 1995

Area versus detection latency trade-offs in self-checking memory design.
Proceedings of the 1995 European Design and Test Conference, 1995

Analytic approach for error masking elimination in on-line multipliers.
Proceedings of the 12th Symposium on Computer Arithmetic (ARITH-12 '95), 1995

1994
Fault secure property versus strongly code disjoint checkers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

Trade-offs in scan path and BIST implementations for RAMs.
J. Electron. Test., 1994

Zero aliasing ROM BIST.
J. Electron. Test., 1994

Efficient UBIST for RAMs.
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994

Design for testability of on-line multipliers.
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994

Aliasing-free Signature Analysis for RAM BIST.
Proceedings of the Proceedings IEEE International Test Conference 1994, 1994

SEU-Tolerant SRAM Design Based on Current Monitoring.
Proceedings of the Digest of Papers: FTCS/24, 1994

A Test Methodology Applied to Cellular Logic Programmable Gate Arrays.
Proceedings of the Field-Programmable Logic, 1994

Efficient Implementations of Self-Checking Multiply and Divide Arrays.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

1993
Quiescent current estimation based on quality requirements.
Proceedings of the 11th IEEE VLSI Test Symposium (VTS'93), 1993

Finitely self-checking circuits and their application on current sensors.
Proceedings of the 11th IEEE VLSI Test Symposium (VTS'93), 1993

Quiescent Current Monitoring to Improve the Reliability of Electronic Systems in Space Radiation Environments.
Proceedings of the Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, 1993

Efficient Implementations of Self-Checking Adders and ALUs.
Proceedings of the Digest of Papers: FTCS-23, 1993

1992
An SFS Berger check prediction ALU and its application to self-checking processor designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Improving the theory of truth table verification of iterative logic arrays.
Proceedings of the 10th IEEE VLSI Test Symposium (VTS'92), 1992

Transparent BIST for RAMs.
Proceedings of the Proceedings IEEE International Test Conference 1992, 1992

A Tool for Automatic Generation of BISTed and Transparent BISTed Rams.
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992

Design of Static CMOS Self-Checking Circuits using Built-In Current Sensing.
Proceedings of the Digest of Papers: FTCS-22, 1992

1991
Silicon compilation of hierarchical control sections with unified BIST testability.
Microprocess. Microsystems, 1991

Shorts in self-checking circuits.
J. Electron. Test., 1991

New Implementations, Tools, and Experiments for Decreasing Self-Checking PLAs Area Overhead.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

Built-In Self-Test for Multi-Port RAMs.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

A self-checking PLA automatic generator tool based on unordered codes encoding.
Proceedings of the conference on European design automation, 1991

1989
Self-exercising checkers for unified built-in self-test (UBIST).
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

Self-checking logic arrays.
Microprocess. Microsystems, 1989

A generalized theory of fail-safe systems.
Proceedings of the Nineteenth International Symposium on Fault-Tolerant Computing, 1989

1988
Strongly Code Disjoint Checkers.
IEEE Trans. Computers, 1988

UBIST version of the SYCO's control section compiler.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988

A unified built-in-test scheme: UBIST.
Proceedings of the Eighteenth International Symposium on Fault-Tolerant Computing, 1988


  Loading...