Elena I. Vatajelu

Orcid: 0000-0002-4588-1812

According to our database1, Elena I. Vatajelu authored at least 63 papers between 2010 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Security layers and related services within the Horizon Europe NEUROPULS project.
CoRR, 2023

Python Framework for Modular and Parametric SPICE Netlists Generation.
CoRR, 2023

NEUROPULS: NEUROmorphic energy-efficient secure accelerators based on Phase change materials aUgmented siLicon photonicS.
CoRR, 2023

Special Session: Neuromorphic hardware design and reliability from traditional CMOS to emerging technologies.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

On-Line Method to Limit Unreliability and Bit-Aliasing in RO-PUF.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023

Experimental Evaluation of Delayed-Based Detectors Against Power-off Attack.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023

A Study of High Temperature Effects on Ring Oscillator Based Physical Unclonable Functions.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023


Open Automation Framework for Complex Parametric Electrical Simulations.
Proceedings of the 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2023

2022
Guest Editorial: Computation-In-Memory (CIM): from Device to Applications.
ACM J. Emerg. Technol. Comput. Syst., 2022

On-Line Reliability Estimation of Ring Oscillator PUF.
Proceedings of the IEEE European Test Symposium, 2022

Synaptic Control for Hardware Implementation of Spike Timing Dependent Plasticity.
Proceedings of the 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2022

Dependability of Alternative Computing Paradigms for Machine Learning: hype or hope?
Proceedings of the 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2022

2021
On the Limitations of Concatenating Boolean Operations in Memristive-Based Logic-In-Memory Solutions.
Proceedings of the 16th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2021

Identification of Hardware Devices based on Sensors and Switching Activity: a Preliminary Study.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Stuck-At Fault Mitigation of Emerging Technologies Based Switching Lattices.
J. Electron. Test., 2020

Machine Learning and Hardware security: Challenges and Opportunities -Invited Talk-.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

2019
High-Entropy STT-MTJ-Based TRNG.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Challenges and Solutions in Emerging Memory Testing.
IEEE Trans. Emerg. Top. Comput., 2019

Special Session: Reliability of Hardware-Implemented Spiking Neural Networks (SNN).
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

Fault Mitigation of Switching Lattices under the Stuck-At-Fault Model.
Proceedings of the IEEE Latin American Test Symposium, 2019

On the Reliability of the Ring Oscillator Physically Unclonable Functions.
Proceedings of the 4th IEEE International Verification and Security Workshop, 2019

IEEE European Test Symposium (ETS).
Proceedings of the IEEE International Test Conference, 2019

On the Encryption of the Challenge in Physically Unclonable Functions.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Rebooting Computing: The Challenges for Test and Reliability.
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019

Hidden-Delay-Fault Sensor for Test, Reliability and Security.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
State of the art and challenges for test and reliability of emerging nonvolatile resistive memories.
Int. J. Circuit Theory Appl., 2018

Test and Reliability in Approximate Computing.
J. Electron. Test., 2018

Neuromorphic Computing - From Robust Hardware Architectures to Testing Strategies.
Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, 2018

Integrated Synthesis Methodology for Crossbar Arrays.
Proceedings of the 14th IEEE/ACM International Symposium on Nanoscale Architectures, 2018

Resistive and Spintronic RAMs: Device, Simulation, and Applications.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

2017
Fully-connected single-layer STT-MTJ-based spiking neural network under process variability.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2017

Zero bit-error-rate weak PUF based on Spin-Transfer-Torque MRAM memories.
Proceedings of the IEEE 2nd International Verification and Security Workshop, 2017

Reliability analysis of MTJ-based functional module for neuromorphic computing.
Proceedings of the 23rd IEEE International Symposium on On-Line Testing and Robust System Design, 2017

Mitigating read & write errors in STT-MRAM memories under DVS.
Proceedings of the 22nd IEEE European Test Symposium, 2017

Memristive devices: Technology, design automation and computing frontiers.
Proceedings of the 12th International Conference on Design & Technology of Integrated Systems In Nanoscale Era, 2017

2016
STT-MRAM-Based PUF Architecture Exploiting Magnetic Tunnel Junction Fabrication-Induced Variability.
ACM J. Emerg. Technol. Comput. Syst., 2016

Security primitives (PUF and TRNG) with STT-MRAM.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

STT-MTJ-based TRNG with on-the-fly temperature/current variation compensation.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

SEcube™: An open-source security platform in a single SoC.
Proceedings of the 2016 International Conference on Design and Technology of Integrated Systems in Nanoscale Era, 2016

Towards a highly reliable SRAM-based PUFs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
STT-MRAM-Based Strong PUF Architecture.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Power-aware voltage tuning for STT-MRAM reliability.
Proceedings of the 20th IEEE European Test Symposium, 2015

STT-MRAM cell reliability evaluation under process, voltage and temperature (PVT) variations.
Proceedings of the 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2015

Read/write robustness estimation metrics for spin transfer torque (STT) MRAM cell.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

STT MRAM-Based PUFs.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Sram cell stability metric under transient voltage noise.
Microelectron. J., 2014

Nonvolatile memories: Present and future challenges.
Proceedings of the 9th International Design and Test Symposium, 2014

Integration of STT-MRAM model into CACTI simulator.
Proceedings of the 9th International Design and Test Symposium, 2014

On the impact of process variability and aging on the reliability of emerging memories (Embedded tutorial).
Proceedings of the 19th IEEE European Test Symposium, 2014

Reliability estimation at block-level granularity of spin-transfer-torque MRAMs.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

2013
Domino logic designs for high-performance and leakage-tolerant applications.
Integr., 2013

SRAM soft error rate evaluation under atmospheric neutron radiation and PVT variations.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Analyzing resistive-open defects in SRAM core-cell under the effect of process variability.
Proceedings of the 18th IEEE European Test Symposium, 2013

Analyzing the effect of concurrent variability in the core cells and sense amplifiers on SRAM read access failures.
Proceedings of the 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2013

On the correlation between Static Noise Margin and Soft Error Rate evaluated for a 40nm SRAM cell.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

Adaptive Source Bias for Improved Resistive-Open Defect Coverage during SRAM Testing.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
Efficiency evaluation of parametric failure mitigation techniques for reliable SRAM operation.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
New reliability mechanisms in memory design for sub-22nm technologies.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

Statistical analysis of 6T SRAM data retention voltage under process variation.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

Robustness analysis of 6T SRAMs in memory retention mode under PVT variations.
Proceedings of the Design, Automation and Test in Europe, 2011

Transient Noise Failures in SRAM Cells: Dynamic Noise Margin Metric.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
Parametric failure analysis of embedded SRAMs using fast & accurate dynamic analysis.
Proceedings of the 15th European Test Symposium, 2010


  Loading...