Pinaki Mazumder

Orcid: 0000-0002-9353-7004

Affiliations:
  • University of Michigan, Ann Arbor, USA


According to our database1, Pinaki Mazumder authored at least 145 papers between 1986 and 2023.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 1999, "For contributions to the field of VLSI design.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Custom CMOS Ising Machine Based on Relaxed Burer-Monteiro-Zhang Heuristic.
IEEE Trans. Computers, October, 2023

NSF Integrated Circuit Research, Education and Workforce Development Workshop Final Report.
CoRR, 2023

Self-contained relaxation-based dynamical Ising machines.
CoRR, 2023

2022
Dynamic Pinning Synchronization of Fuzzy-Dependent-Switched Coupled Memristive Neural Networks With Mismatched Dimensions on Time Scales.
IEEE Trans. Fuzzy Syst., 2022

Scalable almost-linear dynamical Ising machines.
CoRR, 2022

2021
Navigating the Seismic Shift of Post-Moore Computer Systems Design.
IEEE Micro, 2021

2020
Terahertz Quantum Cryptography.
IEEE J. Sel. Areas Commun., 2020

Process Variation in Spoof Plasmon Interconnect: Consequences and Compensations.
Proceedings of the 2020 IEEE Radio and Wireless Symposium, 2020

Convolutional Neural Network Design for Breast Cancer Medical Image Classification.
Proceedings of the 20th IEEE International Conference on Communication Technology, 2020

2019
Spoof Plasmon Interconnects - Communications Beyond RC Limit.
IEEE Trans. Commun., 2019

2018
Online Supervised Learning for Hardware-Based Multilayer Spiking Neural Networks Through the Modulation of Weight-Dependent Spike-Timing-Dependent Plasticity.
IEEE Trans. Neural Networks Learn. Syst., 2018

A Scalable Low-Power Reconfigurable Accelerator for Action-Dependent Heuristic Dynamic Programming.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A Low-Power Circuit for Adaptive Dynamic Programming.
Proceedings of the 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, 2018

A Low-Power Hardware Architecture for On-Line Supervised Learning in Multi-Layer Spiking Neural Networks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

2017
Modeling and Mitigation of Static Noise Margin Variation in Subthreshold SRAM Cells.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

An Efficient Eligible Error Locator Polynomial Searching Algorithm and Hardware Architecture for One-Pass Chase Decoding of BCH Codes.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

Energy-Efficient Hardware Architecture of Self-Organizing Map for ECG Clustering in 65-nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

Hardware-Friendly Actor-Critic Reinforcement Learning Through Modulation of Spike-Timing-Dependent Plasticity.
IEEE Trans. Computers, 2017

A robust 12T SRAM cell with improved write margin for ultra-low power applications in 40 nm CMOS.
Integr., 2017

2016
Digital implementation of a virtual insect trained by spike-timing dependent plasticity.
Integr., 2016

2015
Memristor-Based Cellular Nonlinear/Neural Network: Design, Analysis, and Applications.
IEEE Trans. Neural Networks Learn. Syst., 2015

2014
A low-power reconfigurable CMOS power amplifier for wireless sensor network applications.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

2013
Nonvolatile Nanopipelining Logic Using Multiferroic Single-Domain Nanomagnets.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Color image processing with multi-peak resonant tunneling diodes.
ACM J. Emerg. Technol. Comput. Syst., 2013

A 250mV sub-threshold asynchronous 8051microcontroller with a novel 16T SRAM cell for improved reliability in 40nm CMOS.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

2012
Memristors: Devices, Models, and Applications [Scanning the Issue].
Proc. IEEE, 2012

CMOS and Memristor-Based Neural Network Design for Position Detection.
Proc. IEEE, 2012

Memristor-based RRAM with applications.
Sci. China Inf. Sci., 2012

Comparison of FFT/IFFT Designs Utilizing Different Low Power Techniques.
Proceedings of the International Symposium on Electronic System Design, 2012

2011
Guest Editors' Introduction: Special Section on Chips and Architectures for Emerging Technologies and Applications.
IEEE Trans. Computers, 2011

Threshold Read Method for Multi-bit Memristive Crossbar Memory.
Proceedings of the International Symposium on Electronic System Design, 2011

2009
Tunneling-Based Cellular Nonlinear Network Architectures for Image Processing.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Disruptive technologies and neurally-inspired architectures.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

An accurate interconnect thermal model using equivalent transmission line circuit.
Proceedings of the Design, Automation and Test in Europe, 2009

2007
Efficient Modeling of Transmission Lines With Electromagnetic Wave Coupling by Using the Finite Difference Quadrature Method.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Accelerated Chip-Level Thermal Analysis Using Multilayer Green's Function.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

2006
Bounding Supply Noise Induced Path Delay Variation Using a Relaxation Approach.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

A logarithmic full-chip thermal analysis algorithm based on multi-layer Green's function.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Optimization of circuit trajectories: an auxiliary network approach.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

Parallel Processing Based Power Reduction in a 256 State Viterbi Decoder.
Proceedings of the 2006 IEEE International Conference on Application-Specific Systems, 2006

2005
Multivariate Normal Distribution Based Statistical Timing Analysis Using Global Projection and Local Expansion.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Design of a new sense amplifier flip-flop with improved power-delay-product.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Integrating lumped networks into full wave TLM/FDTD methods using passive discrete circuit models.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

On the functional failure and switching time analysis of the MOBILE circuit [monostable-bistable logic element].
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

New logic circuits consisting of quantum dots and CMOS.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

EM Wave Coupling Noise Modeling Based on Chebyshev Approximation and Exact Moment Formulation.
Proceedings of the 2005 Design, 2005

2004
On circuit techniques to improve noise immunity of CMOS dynamic logic.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Dynamic Noise Margin: Definitions and Model.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

On optimality of adiabatic switching in MOS energy-recovery circuit.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004

Resonant tunnelling diode based QMOS edge triggered flip-flop design.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Fast thermal analysis for VLSI circuits via semi-analytical Green's function in multi-layer materials.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

On the implementation of RTD based CNNs.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Modeling of transmission lines with EM wave coupling by the finite difference quadrature method.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

A novel technique to improve noise immunity of CMOS dynamic logic circuits.
Proceedings of the 41th Design Automation Conference, 2004

2003
Equivalent-circuit interconnect modeling based on the fifth-order differential quadrature methods.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Simultaneous switching noise analysis using application specific device modeling.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Accurate crosstalk noise modeling for early signal integrity analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

Comparison of Bistable Circuits Based on Resonant-Tunneling Diodes.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

The Impact of Bit-Line Coupling and Ground Bounce on CMOS SRAM Performance.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Performance modeling of resonant tunneling based RAMs.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Efficient interconnect modeling by Finite Difference Quadrature methods.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Subgridding method for speeding up FD-TLM circuit simulation.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Modeling Noise Transfer Characteristic of Dynamic Logic Gates.
Proceedings of the 2003 Design, 2003

2002
Efficient Macromodeling for On-Chip Interconnects.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Rational ABCD Modeling of High-Speed Interconnects.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Novel macromodeling for on-chip RC/RLC interconnects.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Rise time analysis of MOBILE circuit.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Crosstalk noise estimation using effective coupling capacitance.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Modified long channel model for analytical study of DSM circuits.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Efficient crosstalk noise modeling using aggressor and tree reductions.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Novel interconnect modeling by using high-order compact finite difference methods.
Proceedings of the 12th ACM Great Lakes Symposium on VLSI 2002, 2002

Formulation of Low-Order Dominant Poles for Y-Matrix of Interconnects.
Proceedings of the 2002 Design, 2002

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling.
Proceedings of the 2002 Design, 2002

Optimal Transistor Tapering for High-Speed CMOS Circuits.
Proceedings of the 2002 Design, 2002

2001
A physical design tool for built-in self-repairable RAMs.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Augmentation of SPICE for simulation of circuits containingresonant tunneling diodes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

CMOS implementation of a multiple-valued logic signed-digit full adder based on negative-differentiaI-resistance devices.
IEEE J. Solid State Circuits, 2001

Transmission Line Modeling by Modified Method of Characteristics.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Modeling of Nonuniform Interconnects by Using Differential Quadrature Method.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Fd-Tlm Electromagnetic Field Simulation Of High-Speed Iii-V Heterojunction Bipolar Transistor Digital Logic Gates.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

A dual-rail static edge-triggered latch.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

A 250-MHz, 32-bit quantum MOS correlator prototype.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

Efficient and passive modeling of transmission lines by using differential quadrature method.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

2000
Redundant arithmetic, algorithms and implementations.
Integr., 2000

New March Tests for Multiport RAM Devices.
J. Electron. Test., 2000

Convergence Issues in Resonant Tunneling Diode Circuit Simulation.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

Standard CMOS Implementation of a Multiple-Valued Logic Signed-Digit Adder Based on Negative Differential-Resistance Devices.
Proceedings of the 30th IEEE International Symposium on Multiple-Valued Logic, 2000

A prototyping technique for large-scale RTD-CMOS circuits.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

1999
Special Issue On Quantum Devices And Their Applications.
Proc. IEEE, 1999

Design and Analysis of a Novel Quantum-MOS Sense Amplifier Circuit.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

Integration of InAs/AlSb/GaSb Resonant Interband Tunneling Diodes with Heterostructure Field-Effect Transistors for Ultra-High-Speed Digital Circuit Applications.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

A Physical Design Tool for Built-in Self-Repairable Static RAMs.
Proceedings of the 1999 Design, 1999

1998
Guest Editorial Special Section On Impacts Of Emerging Technologies On VLSI Systems.
IEEE Trans. Very Large Scale Integr. Syst., 1998

Multiple-Valued Signed-Digit Adder Using Negative Differential-Resistance Devices.
IEEE Trans. Computers, 1998

Resonant tunneling diodes: models and properties.
Proc. IEEE, 1998

Digital circuit applications of resonant tunneling devices.
Proc. IEEE, 1998

FTROM: A Silicon Compiler for Fault-tolerant ROMs.
Integr., 1998

Analysis of Failures in Deep Submicron SRAM Cells.
Proceedings of the 16th IEEE VLSI Test Symposium (VTS '98), 28 April, 1998

Circuit Design using Resonant Tunneling Diodes.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

Noise Margins of Threshold Logic Gates containing Resonant Tunneling Diodes.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998

A Silicon Compiler for Fault-Tolerant ROMs.
Proceedings of the 13th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '98), 1998

1997
A programmable boundary scan technique for board-level, parallel functional duplex march testing of word-oriented multiport static RAMs.
Proceedings of the European Design and Test Conference, 1997

Compact Signed-Digit Adder Using Multiple-Valued Logic.
Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97), 1997

1996
Generation of Minimal Vertex Covers for Row/Column Allocation in Self-Repairable Arrays.
IEEE Trans. Computers, 1996

Compact multiple-valued multiplexers using negative differential resistance devices.
IEEE J. Solid State Circuits, 1996

A high-speed 32-bit parallel correlator for spread spectrum communication.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

An efficient, bus-layout based method for early diagnosis of bussed driver shorts in printed circuit boards.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

1995
Device and circuit simulation of quantum electronic devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Genetic multiway partitioning.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

1994
A survey of DA techniques for PLD and FPGA based systems.
Integr., 1994

Guest editor's introduction.
J. Electron. Test., 1994

Technology and layout-related testing of static random-access memories.
J. Electron. Test., 1994

SAGA: A Unification of the Genetic Algorithm with Simulated Annealing and its Application to Macro-Cell Placement.
Proceedings of the Seventh International Conference on VLSI Design, 1994

A Systolic Architecture for High Speed Hypergraph Partitioning Using a Genetic Algorithm.
Proceedings of the Process in Evolutionary Computation, 1994

A Genetic Algorithm for the Steiner Problem in a Graph.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

1993
Coprocessor design for multilayer surface-mounted PCB routing.
IEEE Trans. Very Large Scale Integr. Syst., 1993

Analytical and simulation studies of failure modes in SRAMs using high electron mobility transistors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Wolverines: standard cell placement on a network of workstations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Restructuring of square processor arrays by built-in self-repair circuit.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

A new built-in self-repair approach to VLSI memory yield enhancement by using neural-type circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Design of a Fault-Tolerant Three-Dimensional Dynamic Random-Access Memory with On-Chip Error-Correcting Circuit.
IEEE Trans. Computers, 1993

Guest Editor's Introduction: Testing and Improving the Testability of Multimegabit Memories.
IEEE Des. Test Comput., 1993

Genetic Beam Search for Gate Matrix Layout.
Proceedings of the Sixth International Conference on VLSI Design, 1993

1992
Restructuring WSI hexagonal processor arrays.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

An efficient design of embedded memories and their testability analysis using Markov chains.
J. Electron. Test., 1992

An Integrated Built-In Self-Testing and Self-Repair of VLSI/WSI Hexagonal Arrays.
Proceedings of the Proceedings IEEE International Test Conference 1992, 1992

1991
Macro-cell and module placement by genetic adaptive search with bitmap-represented chromosome.
Integr., 1991

VLSI Cell Placement Techniques.
ACM Comput. Surv., 1991

Fault Modeling and Testing of GaAs Static Random Access Memories.
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991

1990
A neural network design for circuit partitioning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

A hexagonal array machine for multilayer wire routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

A genetic approach to standard cell placement using meta-genetic parameter optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

A novel built-in self-repair approach to VLSI memory yield enhancement.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990

A Parallel Karmarkar Algorithm on Orthogonal Tree Networks.
Proceedings of the 1990 International Conference on Parallel Processing, 1990

GASP: a Genetic Algorithm for Standard cell Placement.
Proceedings of the European Design Automation Conference, 1990

1989
Parallel Testing for Pattern-Sensitive Faults in Semiconductor Random-Access Memories.
IEEE Trans. Computers, 1989

HAM-a hardware accelerator for multi-layer wire routing.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989

Neural computing for built-in self-repair of embedded memory arrays.
Proceedings of the Nineteenth International Symposium on Fault-Tolerant Computing, 1989

1988
Testing and Fault-Tolerance Aspects of High-Density VLSI Memory
PhD thesis, 1988

Methodologies for testing embedded content addressable memories.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1988

An On-Chip Double-Bit Error-Correcting Code for Three-Dimensional Dynamic Random-Access Memory.
Proceedings of the Proceedings International Test Conference 1988, 1988

1987
Evaluation of On-Chip Static Interconnection Networks.
IEEE Trans. Computers, 1987

Planar decomposition for quadtree data structure.
Comput. Vis. Graph. Image Process., 1987

Design and Algorithms for Parallel Testing of Random Access and Content Addressable Memories.
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987

1986
Evaluation of Three Interconnection Networks for CMOS VLSI Implementation.
Proceedings of the International Conference on Parallel Processing, 1986


  Loading...