Xifan Tang

Orcid: 0000-0003-2203-3981

According to our database1, Xifan Tang authored at least 41 papers between 2013 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
FPGA EDA - Design Principles and Implementation
Springer, ISBN: 978-981-99-7754-3, 2024

2023
Not All Fabrics Are Created Equal: Exploring eFPGA Parameters for IP Redaction.
IEEE Trans. Very Large Scale Integr. Syst., October, 2023

A Scalable and Area-Efficient Configuration Circuitry for Semi-Custom FPGA Design.
IEEE Trans. Very Large Scale Integr. Syst., August, 2023

2022
ALICE: an automatic design flow for eFPGA redaction.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
A Scalable and Robust Hierarchical Floorplanning to Enable 24-hour Prototyping for 100k-LUT FPGAs.
Proceedings of the ISPD '21: International Symposium on Physical Design, 2021

Smart-Redundancy: An Alternative SEU/SET Mitigation Method for FPGAs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Exploring eFPGA-based Redaction for IP Protection.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Taping out an FPGA in 24 hours with OpenFPGA: The SOFA Project.
Proceedings of the 31st International Conference on Field-Programmable Logic and Applications, 2021

Invited: Getting the Most out of your Circuits with Heterogeneous Logic Synthesis.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
OpenFPGA: An Open-Source Framework for Agile Prototyping Customizable FPGAs.
IEEE Micro, 2020

A RRAM-based FPGA for Energy-efficient Edge Computing.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

A Scalable Mixed Synthesis Framework for Heterogeneous Networks.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
FPGA-SPICE: A Simulation-Based Architecture Evaluation Framework for FPGAs.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Accelerating Inference on Binary Neural Networks with Digital RRAM Processing.
Proceedings of the VLSI-SoC: New Technology Enabler, 2019

A Product Engine for Energy-Efficient Execution of Binary Neural Networks Using Resistive Memories.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

Improving Logic Optimization in Sequential Circuits using Majority-inverter Graphs.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

A Study on Switch Block Patterns for Tileable FPGA Routing Architectures.
Proceedings of the International Conference on Field-Programmable Technology, 2019

LSOracle: a Logic Synthesis Framework Driven by Artificial Intelligence: Invited Paper.
Proceedings of the International Conference on Computer-Aided Design, 2019

OpenFPGA: An Opensource Framework Enabling Rapid Prototyping of Customizable FPGAs.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019

2018
Post-P&R Performance and Power Analysis for RRAM-Based FPGAs.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

2017
Circuit Design, Architecture and CAD for RRAM-based FPGAs.
PhD thesis, 2017

A High-Performance FPGA Architecture Using One-Level RRAM-Based Multiplexers.
IEEE Trans. Emerg. Top. Comput., 2017

Circuit Designs of High-Performance and Low-Power RRAM-Based Multiplexers Based on 4T(ransistor)1R(RAM) Programming Structure.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Optimization opportunities in RRAM-based FPGA architectures.
Proceedings of the 8th IEEE Latin American Symposium on Circuits & Systems, 2017

Physical Design Considerations of One-level RRAM-based Routing Multiplexers.
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017

Improving Circuit Mapping Performance Through MIG-based Synthesis for Carry Chains.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

2016
A Study on the Programming Structures for RRAM-Based FPGA Architectures.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

A Full-Capacity Local RoutingArchitecture for FPGAs (Abstract Only).
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

2015
A Novel FPGA Architecture Based on Ultrafine Grain Reconfigurable Logic Cells.
IEEE Trans. Very Large Scale Integr. Syst., 2015

A study on buffer distribution for RRAM-based FPGA routing structures.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

FPGA-SPICE: A simulation-based power estimation framework for FPGAs.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Accurate power analysis for near-Vt RRAM-based FPGA.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

Towards More Efficient Logic Blocks By Exploiting Biconditional Expansion (Abstract Only).
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

A ultra-low-power FPGA based on monolithically integrated RRAMs.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Configurable Circuits Featuring Dual-Threshold-Voltage Design With Three-Independent-Gate Silicon Nanowire FETs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Novel configurable logic block architecture exploiting controllable-polarity transistors.
Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, 2014

TSPC Flip-Flop circuit design with three-independent-gate silicon nanowire FETs.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

A high-performance low-power near-Vt RRAM-based FPGA.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

Pattern-based FPGA logic block and clustering algorithm.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

2013
Timing Uncertainty in 3-D Clock Trees Due to Process Variations and Power Supply Noise.
IEEE Trans. Very Large Scale Integr. Syst., 2013

An Enhanced Design Methodology for Resonant Clock Trees.
J. Low Power Electron., 2013


  Loading...