Marina Zapater

According to our database1, Marina Zapater authored at least 80 papers between 2010 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
LionHeart: A Layer-based Mapping Framework for Heterogeneous Systems with Analog In-Memory Computing Tiles.
CoRR, 2024

2023
Graphene-Based Wireless Agile Interconnects for Massive Heterogeneous Multi-Chip Processors.
IEEE Wirel. Commun., August, 2023

ALPINE: Analog In-Memory Acceleration With Tight Processor Integration for Deep Learning.
IEEE Trans. Computers, July, 2023

Thermal and Voltage-Aware Performance Management of 3-D MPSoCs With Flow Cell Arrays and Integrated SC Converters.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

CloudProphet: A Machine Learning-Based Performance Prediction for Public Clouds.
CoRR, 2023

REMOTE: Re-thinking Task Mapping on Wireless 2.5D Systems-on-Package for Hotspot Removal.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

System-Level Exploration of In-Package Wireless Communication for Multi-Chiplet Platforms.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
MAGNETIC: Multi-Agent Machine Learning-Based Approach for Energy Efficient Dynamic Consolidation in Data Centers.
IEEE Trans. Serv. Comput., 2022

3D-ICE 3.0: Efficient Nonlinear MPSoC Thermal Simulation With Pluggable Heat Sink Models.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Multiagent Reinforcement Learning for Hyperparameter Optimization of Convolutional Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

COCKTAIL: Multicore Co-Optimization Framework With Proactive Reliability Management.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Reinforcement Learning-Based Joint Reliability and Performance Optimization for Hybrid-Cache Computing Servers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Energy-aware task scheduling in data centers using an application signature.
Comput. Electr. Eng., 2022

Full System Exploration of On-Chip Wireless Communication on Many-Core Architectures.
Proceedings of the 13th IEEE Latin America Symposium on Circuits and System, 2022

Thermal and Power-Aware Run-time Performance Management of 3D MPSoCs with Integrated Flow Cell Arrays.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

The DeepHealth Toolkit: A Key European Free and Open-Source Software for Deep Learning and Computer Vision Ready to Exploit Heterogeneous HPC and Cloud Architectures.
Proceedings of the Technologies and Applications for Big Data Value, 2022

2021
ECOGreen: Electricity Cost Optimization for Green Datacenters in Emerging Power Markets.
IEEE Trans. Sustain. Comput., 2021

Genome Sequence Alignment - Design Space Exploration for Optimal Performance and Energy Architectures.
IEEE Trans. Computers, 2021

Gem5-X: A Many-core Heterogeneous Simulation Platform for Architectural Exploration and Optimization.
ACM Trans. Archit. Code Optim., 2021

Fast energy estimation framework for long-running applications.
Future Gener. Comput. Syst., 2021

Predictive Reliability and Fault Management in Exascale Systems: State of the Art and Perspectives.
ACM Comput. Surv., 2021

Interpreting deep learning models for epileptic seizure detection on EEG signals.
Artif. Intell. Medicine, 2021

Exact Neural Networks from Inexact Multipliers via Fibonacci Weight Encoding.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Architecting more than Moore: wireless plasticity for massive heterogeneous computer architectures (WiPLASH).
Proceedings of the CF '21: Computing Frontiers Conference, 2021

2020
Resource Management for Power-Constrained HEVC Transcoding Using Reinforcement Learning.
IEEE Trans. Parallel Distributed Syst., 2020

BLADE: An in-Cache Computing Architecture for Edge Devices.
IEEE Trans. Computers, 2020

The RECIPE approach to challenges in deeply heterogeneous high performance systems.
Microprocess. Microsystems, 2020

Graphene-based Wireless Agile Interconnects for Massive Heterogeneous Multi-chip Processors.
CoRR, 2020

A Hybrid Cache HW/SW Stack for Optimizing Neural Network Runtime, Power and Endurance.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020

Enabling Optimal Power Generation of Flow Cell Arrays in 3D MPSoCs with On-Chip Switched Capacitor Converters.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

Towards Deeply Scaled 3D MPSoCs with Integrated Flow Cell Array Technology.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Dynamic Thermal Management with Proactive Fan Speed Control Through Reinforcement Learning.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Accelerating Inference on Binary Neural Networks with Digital RRAM Processing.
Proceedings of the VLSI-SoC: New Technology Enabler, 2019

A Product Engine for Energy-Efficient Execution of Binary Neural Networks Using Resistive Memories.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

A QoS and Container-Based Approach for Energy Saving and Performance Profiling in Multi-Core Servers.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

An Associativity-Agnostic in-Cache Computing Architecture Optimized for Multiplication.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

A Machine Learning-Based Framework for Throughput Estimation of Time-Varying Applications in Multi-Core Servers.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

Gem5-X: A Gem5-Based System Level Simulation Framework to Optimize Many-Core Platforms.
Proceedings of the 2019 Spring Simulation Conference, 2019

Definition Of A Transparent Constraint-Based Modeling And Simulation Layer For The Management Of Complex Systems.
Proceedings of the 2019 Spring Simulation Conference, 2019

A Design Framework for Thermal-Aware Power Delivery Network in 3D MPSoCs with Integrated Flow Cell Arrays.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

BLADE: A BitLine Accelerator for Devices on the Edge.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Challenges in Deeply Heterogeneous High Performance Systems.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

Enhancing Two-Phase Cooling Efficiency through Thermal-Aware Workload Mapping for Power-Hungry Servers.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

MAMUT: Multi-Agent Reinforcement Learning for Efficient Real-Time Multi-User Video Transcoding.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

A Fast, Reliable and Wide-Voltage-Range In-Memory Computing Architecture.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Machine Learning-Based Quality-Aware Power and Thermal Management of Multistream HEVC Encoding on Multicore Servers.
IEEE Trans. Parallel Distributed Syst., 2018

Integrating Heuristic and Machine-Learning Methods for Efficient Virtual Machine Allocation in Data Centers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

PowerCool: Simulation of Cooling and Powering of 3D MPSoCs with Integrated Flow Cell Arrays.
IEEE Trans. Computers, 2018

Power transmission and workload balancing policies in eHealth mobile cloud computing scenarios.
Future Gener. Comput. Syst., 2018

Reliable power and time-constraints-aware predictive management of heterogeneous exascale systems.
Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, 2018

Design Optimization of 3D Multi-Processor System-on-Chip with Integrated Flow Cell Arrays.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

A Machine Learning-Based Strategy for Efficient Resource Management of Video Encoding on Heterogeneous MPSoCs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Energy proportionality in near-threshold computing servers and cloud data centers: Consolidating or Not?
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Online efficient bio-medical video transcoding on MPSoCs through content-aware workload allocation.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Fast Energy Estimation Through Partial Execution of HPC Applications.
Proceedings of the 29th IEEE International Conference on Application-specific Systems, 2018

2017
Reconsidering the performance of DEVS modeling and simulation environments using the DEVStone benchmark.
Simul., 2017

SFIDE: a simulation infrastructure for data centers.
Proceedings of the Summer Simulation Multi-Conference, 2017

Thermal characterization of next-generation workloads on heterogeneous MPSoCs.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017


A machine learning-based approach for power and thermal management of next-generation video coding on MPSoCs: work-in-progress.
Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, 2017

2016
Runtime data center temperature prediction using Grammatical Evolution techniques.
Appl. Soft Comput., 2016

Towards near-threshold server processors.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Unsupervised power modeling of co-allocated workloads for energy efficiency in data centers.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Leakage-Aware Cooling Management for Improving Server Energy Efficiency.
IEEE Trans. Parallel Distributed Syst., 2015

Comparative study of meta-heuristic 3D floorplanning algorithms.
Neurocomputing, 2015

Self-organizing Maps versus Growing Neural Gas in Detecting Anomalies in Data Centres.
Log. J. IGPL, 2015

Enhancing Regression Models for Complex Systems Using Evolutionary Techniques for Feature Engineering.
J. Grid Comput., 2015

Dynamic workload and cooling management in high-efficiency data centers.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015

Power-awareness and smart-resource management in embedded computing systems.
Proceedings of the 2015 International Conference on Hardware/Software Codesign and System Synthesis, 2015

Using Grammatical Evolution Techniques to Model the Dynamic Power Consumption of Enterprise Servers.
Proceedings of the Ninth International Conference on Complex, 2015

A Trust and Reputation System for Energy Optimization in Cloud Data Centers.
Proceedings of the 8th IEEE International Conference on Cloud Computing, 2015

2014
A novel energy-driven computing paradigm for e-health scenarios.
Future Gener. Comput. Syst., 2014

2013
Leakage and temperature aware server control for improving energy efficiency in data centers.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
Ubiquitous Green Computing Techniques for High Demand Applications in Smart Environments.
Sensors, 2012

Compiler Optimizations as a Countermeasure against Side-Channel Analysis in MSP430-Based Devices.
Sensors, 2012

GreenDisc: A HW/SW Energy Optimization Framework in Globally Distributed Computation.
Proceedings of the Ubiquitous Computing and Ambient Intelligence, 2012

Leveraging Heterogeneity for Energy Minimization in Data Centers.
Proceedings of the 12th IEEE/ACM International Symposium on Cluster, 2012

2011
RFID Performance in Localization Systems.
Proceedings of the Ambient Assisted Living - Third International Workshop, 2011

2010
Combined Dynamic-Static Approach for Thermal-Awareness in Heterogeneous Data Centers.
Proceedings of the International Workshop on Innovative Architecture for Future Generation High Performance Processors and Systems, 2010

Avoiding Side-Channel Attacks in Embedded Systems with Non-deterministic Branches.
Proceedings of the International Workshop on Innovative Architecture for Future Generation High Performance Processors and Systems, 2010


  Loading...