Ramesh Karri
Orcid: 0000-0001-7989-5617
According to our database1,
Ramesh Karri
authored at least 422 papers
between 1988 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
Inf., July, 2024
Silicon-Proven ASIC Design for the Polynomial Operations of Fully Homomorphic Encryption.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., June, 2024
IEEE Embed. Syst. Lett., June, 2024
ACM Trans. Design Autom. Electr. Syst., May, 2024
IEEE Trans. Smart Grid, January, 2024
IEEE Trans. Inf. Forensics Secur., 2024
IEEE Trans. Inf. Forensics Secur., 2024
NiLoPher: Breaking a Modern SAT-Hardened Logic-Locking Scheme via Power Analysis Attack.
IACR Cryptol. ePrint Arch., 2024
Trojan Insertion versus Layout Defenses for Modern ICs: Red-versus-Blue Teaming in a Competitive Community Effort.
IACR Cryptol. ePrint Arch., 2024
HiFi-CS: Towards Open Vocabulary Visual Grounding For Robotic Grasping Using Vision-Language Models.
CoRR, 2024
SENTAUR: Security EnhaNced Trojan Assessment Using LLMs Against Undesirable Revisions.
CoRR, 2024
ASCENT: Amplifying Power Side-Channel Resilience via Learning & Monte-Carlo Tree Search.
CoRR, 2024
CoRR, 2024
Tracking Real-time Anomalies in Cyber-Physical Systems Through Dynamic Behavioral Analysis.
CoRR, 2024
NYU CTF Dataset: A Scalable Open-Source Benchmark Dataset for Evaluating LLMs in Offensive Security.
CoRR, 2024
CoRR, 2024
CoRR, 2024
Rome was Not Built in a Single Step: Hierarchical Prompting for LLM-based Chip Design.
Proceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD, 2024
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2024
Proceedings of the IEEE Power & Energy Society Innovative Smart Grid Technologies Conference, 2024
Proceedings of the Twelfth International Conference on Learning Representations, 2024
Proceedings of the IEEE International Conference on Communications Workshops, 2024
Offramps: An FPGA-Based Intermediary for Analysis and Modification of Additive Manufacturing Control Systems.
Proceedings of the 54th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2024
Proceedings of the Applied Cryptography and Network Security Workshops, 2024
2023
IEEE Trans. Very Large Scale Integr. Syst., October, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., September, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2023
Fuzzing+Hardware Performance Counters-Based Detection of Algorithm Subversion Attacks on Postquantum Signature Schemes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2023
ACM Trans. Embed. Comput. Syst., 2023
Multi-Modal Side Channel Data Driven Golden-Free Detection of Software and Firmware Trojans.
IEEE Trans. Dependable Secur. Comput., 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023
IACR Cryptol. ePrint Arch., 2023
Are Emily and Greg Still More Employable than Lakisha and Jamal? Investigating Algorithmic Hiring Bias in the Era of ChatGPT.
CoRR, 2023
INVICTUS: Optimizing Boolean Logic Circuit Synthesis via Synergistic Learning and Search.
CoRR, 2023
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023
Lost at C: A User Study on the Security Implications of Large Language Model Code Assistants.
Proceedings of the 32nd USENIX Security Symposium, 2023
Proceedings of the 44th IEEE Symposium on Security and Privacy, 2023
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023
Proceedings of the IEEE International Test Conference, 2023
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023
Proceedings of the 2023 International Symposium on Physical Design, 2023
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023
Comprehensive Reliability Analysis of 22nm FDSOI SRAM from Device Physics to Deep Learning.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023
An Integrated Testbed for Trojans in Printed Circuit Boards with Fuzzing Capabilities.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
ALMOST: Adversarial Learning to Mitigate Oracle-less ML Attacks via Synthesis Tuning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023
Proceedings of the 2023 Workshop on Attacks and Solutions in Hardware Security, 2023
2022
IEEE Trans. Biomed. Circuits Syst., December, 2022
Code and Dataset for "Examining Zero-Shot Vulnerability Repair with Large Language Models".
Dataset, March, 2022
IEEE Trans. Very Large Scale Integr. Syst., 2022
IEEE Trans. Smart Grid, 2022
Opening the Doors to Dynamic Camouflaging: Harnessing the Power of Polymorphic Devices.
IEEE Trans. Emerg. Top. Comput., 2022
IEEE Trans. Dependable Secur. Comput., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Computers, 2022
HPC-Based Malware Detectors Actually Work: Transition to Practice After a Decade of Research.
IEEE Des. Test, 2022
CoRR, 2022
Fuzzing+Hardware Performance Counters-Based Detection of Algorithm Subversion Attacks on Post-Quantum Signature Schemes.
CoRR, 2022
Proceedings of the 40th IEEE VLSI Test Symposium, 2022
Proceedings of the Tools and Algorithms for the Construction and Analysis of Systems, 2022
Asleep at the Keyboard? Assessing the Security of GitHub Copilot's Code Contributions.
Proceedings of the 43rd IEEE Symposium on Security and Privacy, 2022
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Behavioral Synthesis for Hardware Security, 2022
2021
IEEE Trans. Very Large Scale Integr. Syst., 2021
IEEE Trans. Smart Grid, 2021
IEEE Trans. Inf. Forensics Secur., 2021
ACM Trans. Embed. Comput. Syst., 2021
Toward Hardware-Based IP Vulnerability Detection and Post-Deployment Patching in Systems-on-Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
Bias Busters: Robustifying DL-Based Lithographic Hotspot Detectors Against Backdooring Attacks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
Training Data Poisoning in ML-CAD: Backdooring DL-Based Lithographic Hotspot Detectors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
CoRR, 2021
OpenABC-D: A Large-Scale Dataset For Machine Learning Guided Integrated Circuit Synthesis.
CoRR, 2021
CoRR, 2021
FLAW3D: A Trojan-based Cyber Attack on the Physical Outcomes of Additive Manufacturing.
CoRR, 2021
Computer, 2021
Proceedings of the 39th IEEE VLSI Test Symposium, 2021
Efficient Hardware Implementation of PQC Primitives and PQC algorithms Using High-Level Synthesis.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Scaling Up Hardware Accelerator Verification using A-QED with Functional Decomposition.
Proceedings of the Formal Methods in Computer Aided Design, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Fortifying RTL Locking Against Oracle-Less (Untrusted Foundry) and Oracle-Guided Attacks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Proceedings of the AISec@CCS 2021: Proceedings of the 14th ACM Workshop on Artificial Intelligence and Security, 2021
Protection against Counterfeiting Attacks in 3D Printing by Streaming Signature-embedded Manufacturing Process Instructions.
Proceedings of the AMSec '21: Proceedings of the 2021 Workshop on Additive Manufacturing (3D Printing) Security, 2021
Securing Biochemical Samples Using Molecular Barcoding on Digital Microfluidic Biochips.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
2020
Programmable Daisychaining of Microelectrodes to Secure Bioassay IP in MEDA Biochips.
IEEE Trans. Very Large Scale Integr. Syst., 2020
IEEE Trans. Smart Grid, 2020
Adversarial Perturbation Attacks on ML-based CAD: A Case Study on CNN-based Lithographic Hotspot Detection.
ACM Trans. Design Autom. Electr. Syst., 2020
ACM Trans. Design Autom. Electr. Syst., 2020
ACM Trans. Design Autom. Electr. Syst., 2020
Anomaly Detection in Real-Time Multi-Threaded Processes Using Hardware Performance Counters.
IEEE Trans. Inf. Forensics Secur., 2020
Molecular Barcoding as a Defense Against Benchtop Biochemical Attacks on DNA Fingerprinting and Information Forensics.
IEEE Trans. Inf. Forensics Secur., 2020
IEEE Trans. Inf. Forensics Secur., 2020
IEEE Trans. Inf. Forensics Secur., 2020
IEEE Trans. Emerg. Top. Comput., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
CoRR, 2020
CoRR, 2020
IEEE Access, 2020
IEEE Access, 2020
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020
Proceedings of the MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020
Explaining and Interpreting Machine Learning CAD Decisions: An IC Testing Case Study.
Proceedings of the MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020
Proceedings of the IEEE European Test Symposium, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Poisoning the (Data) Well in ML-Based CAD: A Case Study of Hiding Lithographic Hotspots.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
ACM Trans. Design Autom. Electr. Syst., 2019
IEEE Trans. Inf. Forensics Secur., 2019
ACM Trans. Embed. Comput. Syst., 2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
ACM J. Emerg. Technol. Comput. Syst., 2019
ACM J. Emerg. Technol. Comput. Syst., 2019
IACR Cryptol. ePrint Arch., 2019
Are Adversarial Perturbations a Showstopper for ML-Based CAD? A Case Study on CNN-Based Lithographic Hotspot Detection.
CoRR, 2019
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019
Proceedings of the IEEE International Test Conference, 2019
IEEE International Symposium on Hardware Oriented Security and Trust (HOST): Past, Present, and Future.
Proceedings of the IEEE International Test Conference, 2019
Can Multi-Layer Microfluidic Design Methods Aid Bio-Intellectual Property Protection?
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019
Power, Area, Speed, and Security (PASS) Trade-Offs of NIST PQC Signature Candidates Using a C to ASIC Design Flow.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019
Proceedings of the 37th IEEE International Conference on Computer Design, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the International Conference on Omni-Layer Intelligent Systems, 2019
Can Monitoring System State + Counting Custom Instruction Sequences Aid Malware Detection?
Proceedings of the 28th IEEE Asian Test Symposium, 2019
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
2018
IEEE Trans. Multi Scale Comput. Syst., 2018
Process-Aware Covert Channels Using Physical Instrumentation in Cyber-Physical Systems.
IEEE Trans. Inf. Forensics Secur., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
ACM J. Emerg. Technol. Comput. Syst., 2018
IEEE Embed. Syst. Lett., 2018
Proceedings of the 36th IEEE VLSI Test Symposium, 2018
Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Hardware Trojan detection using path delay order encoding with process variation tolerance.
Proceedings of the 23rd IEEE European Test Symposium, 2018
Proceedings of the 23rd IEEE European Test Symposium, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the 2018 Annual American Control Conference, 2018
2017
ACM Trans. Design Autom. Electr. Syst., 2017
Automotive Electrical and Electronic Architecture Security via Distributed In-Vehicle Traffic Monitoring.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Des. Test, 2017
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Boolean Circuit Camouflage: Cryptographic Models, Limitations, Provable Results and a Random Oracle Realization.
Proceedings of the 2017 Workshop on Attacks and Solutions in Hardware Security, 2017
Emerging (un-)reliability based security threats and mitigations for embedded systems: special session.
Proceedings of the 2017 International Conference on Compilers, 2017
Proceedings of the 26th IEEE Asian Test Symposium, 2017
2016
Building Trustworthy Systems Using Untrusted Components: A High-Level Synthesis Approach.
IEEE Trans. Very Large Scale Integr. Syst., 2016
ACM Trans. Design Autom. Electr. Syst., 2016
IEEE Trans. Multi Scale Comput. Syst., 2016
Can Algorithm Diversity in Stream Cipher Implementation Thwart (Natural and) Malicious Faults?
IEEE Trans. Emerg. Top. Comput., 2016
IEEE ACM Trans. Comput. Biol. Bioinform., 2016
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
Reusing Hardware Performance Counters to Detect and Identify Kernel Control-Flow Modifying Rootkits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
Hardware Performance Counter-Based Malware Identification and Detection with Adaptive Compressive Sensing.
ACM Trans. Archit. Code Optim., 2016
ACM J. Emerg. Technol. Comput. Syst., 2016
Formal Security Verification of Third Party Intellectual Property Cores for Information Leakage.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016
BRAIN: BehavioR Based Adaptive Intrusion Detection in Networks: Using Hardware Performance Counters to Detect DDoS Attacks.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016
Proceedings of the 2016 IEEE International Test Conference, 2016
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016
Proceedings of the 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2016
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016
2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Novel Test-Mode-Only Scan Attack and Countermeasure for Compression-Based Scan Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Improving Tolerance to Variations in Memristor-Based Applications Using Parallel Memristors.
IEEE Trans. Computers, 2015
ACM Trans. Archit. Code Optim., 2015
Proc. IEEE, 2015
J. Cryptogr. Eng., 2015
Proceedings of the 2015 IEEE International Test Conference, 2015
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
Exploiting small leakages in masks to turn a second-order attack into a first-order attack.
Proceedings of the Fourth Workshop on Hardware and Architectural Support for Security and Privacy, 2015
Deep Packet Field Extraction Engine (DPFEE): A pre-processor for network intrusion detection and denial-of-service detection systems.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
ConFirm: Detecting Firmware Modifications in Embedded Systems using Hardware Performance Counters.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Simulation and analysis of negative-bias temperature instability aging on power analysis attacks.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2015
On enhancing the debug architecture of a system-on-chip (SoC) to detect software attacks.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015
Detecting malicious modifications of data in third-party intellectual property cores.
Proceedings of the 52nd Annual Design Automation Conference, 2015
2014
Proceedings of the Network Science and Cybersecurity, 2014
Shielding Heterogeneous MPSoCs From Untrustworthy 3PIPs Through Security- Driven Task Scheduling.
IEEE Trans. Emerg. Top. Comput., 2014
IACR Cryptol. ePrint Arch., 2014
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014
Proceedings of the 2014 International Test Conference, 2014
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014
Proceedings of the 2014 IEEE International Symposium on Hardware-Oriented Security and Trust, 2014
Proceedings of the 19th IEEE European Test Symposium, 2014
Reusing the IEEE 1500 design for test infrastructure for security monitoring of Systems-on-Chip.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014
Approximating the age of RF/analog circuits through re-characterization and statistical estimation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
IACR Cryptol. ePrint Arch., 2013
Securing Processors Against Insider Attacks: A Circuit-Microarchitecture Co-Design Approach.
IEEE Des. Test, 2013
A study on the effectiveness of Trojan detection techniques using a red team blue team approach.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013
New Scan-Based Attack Using Only the Test Mode and an Input Corruption Countermeasure.
Proceedings of the VLSI-SoC: At the Crossroads of Emerging Trends, 2013
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013
Proceedings of the 2013 IEEE International Test Conference, 2013
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
Proceedings of the 18th IEEE European Test Symposium, 2013
Proceedings of the 18th IEEE European Test Symposium, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
NumChecker: detecting kernel control-flow modifying rootkits by using hardware performance counters.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 2013 ACM SIGSAC Conference on Computer and Communications Security, 2013
Proceedings of the CyCAR'13, 2013
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013
2012
IEEE Trans. Inf. Forensics Secur., 2012
IEEE Trans. Inf. Forensics Secur., 2012
Proc. IEEE, 2012
IACR Cryptol. ePrint Arch., 2012
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012
Proceedings of the 30th International IEEE Conference on Computer Design, 2012
Proceedings of the 30th International IEEE Conference on Computer Design, 2012
Proceedings of the 30th International IEEE Conference on Computer Design, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
ACM Trans. Design Autom. Electr. Syst., 2011
Computer, 2011
Computer, 2011
Proceedings of the 29th IEEE VLSI Test Symposium, 2011
Proceedings of the 29th IEEE VLSI Test Symposium, 2011
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011
Proceedings of the IEEE 29th International Conference on Computer Design, 2011
Proceedings of the IEEE 29th International Conference on Computer Design, 2011
Are hardware performance counters a cost effective way for integrity checking of programs.
Proceedings of the sixth ACM workshop on Scalable trusted computing, 2011
2010
Proceedings of the 2010 IEEE/ACM International Symposium on Nanoscale Architectures, 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the 28th International Conference on Computer Design, 2010
SLICED: Slide-based Concurrent Error Detection Technique for Symmetric Block Ciphers.
Proceedings of the HOST 2010, 2010
2009
2007
Power Optimization for Universal Hash Function Data Path Using Divide-and-Concatenate Technique.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
Proceedings of the 2007 IEEE International Symposium on Nanoscale Architectures, 2007
Register Transfer Level Concurrent Error Detection in Elliptic Curve Crypto Implementations.
Proceedings of the Fourth International Workshop on Fault Diagnosis and Tolerance in Cryptography, 2007
Proceedings of the 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2007
Interactive presentation: Logic level fault tolerance approaches targeting nanoelectronics PLAs.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
Algorithm-level recomputing with shifted operands-a register transfer level concurrent error detection technique.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
Concurrent error detection for involutional functions with applications in fault-tolerant cryptographic hardware design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
Tamper Proofing by Design Using Generalized Involution-Based Concurrent Error Detection for Involutional Substitution Permutation and Feistel Networks.
IEEE Trans. Computers, 2006
IEEE J. Sel. Areas Commun., 2006
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006
Nanofabric Topologies and Reconfiguration Algorithms to Support Dynamically Adaptive Fault Tolerance.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006
Fault Identification in Reconfigurable Carry Lookahead Adders Targeting Nanoelectronic Fabrics.
Proceedings of the 11th European Test Symposium, 2006
Topology aware mapping of logic functions onto nanowire-based crossbar architectures.
Proceedings of the 43rd Design Automation Conference, 2006
2005
Divide-and-concatenate: an architecture-level optimization technique for universal hash functions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005
IEEE J. Sel. Areas Commun., 2005
IACR Cryptol. ePrint Arch., 2005
On-Line Error Detection and BIST for the AES Encryption Algorithm with Different S-Box Implementations.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
A constant array multiplier core generator with dynamic partial evaluation architecture selection (abstract only).
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005
Proceedings of the 2005 Design, 2005
Fault tolerant quantum cellular array (QCA) design using Triple Modular Redundancy with shifted operands.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
A heterogeneous built-in self-repair approach using system-level synthesis flexibility.
IEEE Trans. Reliab., 2004
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
Microprocess. Microsystems, 2004
IACR Cryptol. ePrint Arch., 2004
Scan Based Side Channel Attack on Dedicated Hardware Implementations of Data Encryption Standard.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of IEEE International Conference on Communications, 2004
Proceedings of the Cryptographic Hardware and Embedded Systems, 2004
2003
Selectively breaking data dependences to improve the utilization of idle cycles in algorithm level re-computing data paths.
IEEE Trans. Reliab., 2003
Optimizing the Energy Consumed by Secure Wireless Sessions - Wireless Transport Layer Security Case Study.
Mob. Networks Appl., 2003
Concurrent error detection of fault-based side-channel cryptanalysis of 128-bit RC6 block cipher.
Microelectron. J., 2003
IACR Cryptol. ePrint Arch., 2003
Analysis of Energy Consumed by Secure Session Negotiation Protocols in Wireless Networks.
Proceedings of the Integrated Circuit and System Design, 2003
Register Transfer Level Approach to Hybrid Time and Hardware Redundancy Based Fault Secure Datapath Synthesis.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of IEEE International Conference on Communications, 2003
Nano, quantum, and molecular computing: are we ready for the validation and test challenges?
Proceedings of the Eighth IEEE International High-Level Design Validation and Test Workshop 2003, 2003
An investigation into the design of energy-efficient session negotiation protocols for wireless networks.
Proceedings of the Global Telecommunications Conference, 2003
Parity-Based Concurrent Error Detection of Substitution-Permutation Network Block Ciphers.
Proceedings of the Cryptographic Hardware and Embedded Systems, 2003
2002
Algorithm level re-computing using implementation diversity: a register transfer level concurrent error detection technique.
IEEE Trans. Very Large Scale Integr. Syst., 2002
Algorithm level recomputing using allocation diversity: a registertransfer level approach to time redundancy-based concurrent errordetection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
Concurrent error detection schemes for fault-based side-channel cryptanalysis of symmetric block ciphers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
Phantom redundancy: a register transfer level technique for gracefully degradable data path synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
Proceedings of the IEEE International Conference on Communications, 2002
Proceedings of the 2002 Design, 2002
2001
Introspection: A register transfer level technique for cocurrent error detection and diagnosis in data dominated designs.
ACM Trans. Design Autom. Electr. Syst., 2001
Guest editor's introduction to special section on high-level design validation and test.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
IEEE Commun. Mag., 2001
Algorithm level recomputing with allocation diversity: a register transfer level time redundancy based concurrent error detection technique.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001
Algorithm Level Re-Computing - A Register Transfer Level Concurrent Error Detection Technique.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Proceedings of the 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 2001
Fault-Based Side-Channel Cryptanalysis Tolerant Rijndael Symmetric Block Cipher Architecture.
Proceedings of the 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 2001
Concurrent Error Detection of Fault-Based Side-Channel Cryptanalysis of 128-Bit Symmetric Block Ciphers.
Proceedings of the 38th Design Automation Conference, 2001
2000
Computer Aided Design of Fault-Tolerant Application Specific Programmable Processors.
IEEE Trans. Computers, 2000
Algorithm level re-computing with shifted operands-a register transfer level concurrent error detection technique.
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000
1999
Power optimization using divide-and-conquer techniques for minimization of the number of operations.
ACM Trans. Design Autom. Electr. Syst., 1999
IEEE Commun. Mag., 1999
1998
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998
Versatile BIST: An Integrated Approach to On-line/Off-line BIST for Data-Dominated Architectures.
J. Electron. Test., 1998
Guest Editors' Introduction: Online VLSI Testing.
IEEE Des. Test Comput., 1998
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998
Proceedings of the ASP-DAC '98, 1998
1997
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997
Methodology for Behavioral Synthesis-Based Algorithm-Level Design Space Exploration: DCT Case Study.
Proceedings of the 34st Conference on Design Automation, 1997
Proceedings of the 34st Conference on Design Automation, 1997
1996
Time-constrained scheduling during high-level synthesis of fault-secure VLSI digital signal processors.
IEEE Trans. Reliab., 1996
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996
Proceedings of the 1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1996
Introspection: A Low Overhead Binding Technique During Self-Diagnosing Microarchitecture Synthesis.
Proceedings of the 33st Conference on Design Automation, 1996
Proceedings of the 33st Conference on Design Automation, 1996
Proceedings of the 33st Conference on Design Automation, 1996
1995
Simultaneous scheduling and binding for power minimization during microarchitecture synthesis.
Proceedings of the 1995 International Symposium on Low Power Design 1995, 1995
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995
Proceedings of the 1995 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1995
1994
Coactive scheduling and checkpoint determination during high level synthesis of self-recovering microarchitectures.
IEEE Trans. Very Large Scale Integr. Syst., 1994
Proceedings of the 7th International Symposium on High Level Synthesis, 1994
Proceedings of the Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1994
Proceedings of the Fourth Great Lakes Symposium on Design Automation of High Performance VLSI Systems, 1994
Proceedings of the 31st Conference on Design Automation, 1994
1993
Proceedings of the Digest of Papers: FTCS-23, 1993
Proceedings of the 30th Design Automation Conference. Dallas, 1993
1992
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992
Scheduling with Rollback Constraints in High-Level Synthesis of Self-Recovering ASICs.
Proceedings of the Digest of Papers: FTCS-22, 1992
Proceedings of the 29th Design Automation Conference, 1992
1991
Proceedings of the 24th Annual IEEE/ACM International Symposium on Microarchitecture, 1991
1988
Proceedings of the Seventh Annual Joint Conference of the IEEE Computer and Communcations Societies. Networks: Evolution or Revolution?, 1988