Yongliang Zhou

Orcid: 0000-0002-7327-6759

According to our database1, Yongliang Zhou authored at least 24 papers between 2010 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Soft-Error-Immune Quadruple-Node-Upset Tolerant Latch Based on Polarity Design and Source-Isolation Technologies.
IEEE Trans. Very Large Scale Integr. Syst., April, 2024

A CFMB STT-MRAM-Based Computing-in-Memory Proposal With Cascade Computing Unit for Edge AI Devices.
IEEE Trans. Circuits Syst. I Regul. Pap., January, 2024

2023
A Single-Ended Offset-Canceling Sense Amplifier Enabling Wide-Voltage Operations.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2023

Ultra8T: A Sub-Threshold 8T SRAM with Leakage Detection.
CoRR, 2023

A 28nm Horizontal-Weight-Shift and Vertical-feature-Shift-Based Separate-WL 6T-SRAM Computation-in-Memory Unit-Macro for Edge Depthwise Neural-Networks.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A 28nm 64-kb 31.6-TFLOPS/W Digital-Domain Floating-Point-Computing-Unit and Double-Bit 6T-SRAM Computing-in-Memory Macro for Floating-Point CNNs.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A 28nm 2Mb STT-MRAM Computing-in-Memory Macro with a Refined Bit-Cell and 22.4 - 41.5TOPS/W for AI Inference.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

2022
Writing-only in-MRAM computing paradigm for ultra-low power applications.
Microprocess. Microsystems, April, 2022

SNNIM: A 10T-SRAM based Spiking-Neural-Network-In-Memory architecture with capacitance computation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

ShareFloat CIM: A Compute-In-Memory Architecture with Floating-Point Multiply-and-Accumulate Operations.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A 22-nm FDSOI 8T SRAM Based Time-Domain CIM for Energy-Efficient DNN Accelerators.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

2021
Toward Energy-Efficient STT-MRAM Design With Multi-Modes Reconfiguration.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A survey of in-spin transfer torque MRAM computing.
Sci. China Inf. Sci., 2021

Design Challenges and Methodology of High-Performance SRAM-Based Compute-in-Memory for AI Edge Devices.
Proceedings of the International Conference on UK-China Emerging Technologies, 2021

Design Methodology towards High-Precision SRAM based Computation-in-Memory for AI Edge Devices.
Proceedings of the 18th International SoC Design Conference, 2021

Challenge and Trend of SRAM Based Computation-in-Memory Circuits for AI Edge Devices.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
A Self-Timed Voltage-Mode Sensing Scheme With Successive Sensing and Checking for STT-MRAM.
IEEE Trans. Circuits Syst. I Fundam. Theory Appl., 2020

MTJ-LRB: Proposal of MTJ-Based Loop Replica Bitline as MRAM Device-Circuit Interaction for PVT-Robust Sensing.
IEEE Trans. Circuits Syst., 2020

Magnetic Tunnel Junction Applications.
Sensors, 2020

Interplay Bitwise Operation in Emerging MRAM for Efficient In-memory Computing.
CCF Trans. High Perform. Comput., 2020

2019
A Self-Timing Voltage-Mode Sense Amplifier for STT-MRAM Sensing Yield Improvement.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

2016
Robust activating timing for SRAM SA with replica cell voltage boosted circuit.
IEICE Electron. Express, 2016

2011
Rank-two residue iteration method for nonnegative matrix factorization.
Neurocomputing, 2011

2010
Analysis of how to use the GEB developing.
Proceedings of the International Conference on E-Business and E-Government, 2010


  Loading...