Carl Sechen

According to our database1, Carl Sechen authored at least 88 papers between 1986 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 2002, "For contributions to automated placement and routing in integrated circuits".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Quo Vadis Signal? Automated Directionality Extraction for Post-Programming Verification of a Transistor-Level Programmable Fabric.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2020
ATTEST: Application-Agnostic Testing of a Novel Transistor-Level Programmable Fabric.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

CASPER: CAD Framework for a Novel Transistor-Level Programmable Fabric.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Optimal Standard Cell Library Composition for 7nm.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

An Efficient MILP-Based Aging-Aware Floorplanner for Multi-Context Coarse-Grained Runtime Reconfigurable FPGAs.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Extending the Lifetime of Coarse-Grained Runtime Reconfigurable FPGAs by Balancing Processing Element Usage.
Proceedings of the International Conference on Field-Programmable Technology, 2019

Functional Obfuscation of Hardware Accelerators through Selective Partial Design Extraction onto an Embedded FPGA.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Design Obfuscation through Selective Post-Fabrication Transistor-Level Programming.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Toward an Open-Source Digital Flow: First Learnings from the OpenROAD Project.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2017
A MEMS-Assisted Temperature Sensor With 20-µK Resolution, Conversion Rate of 200 S/s, and FOM of 0.04 pJK2.
IEEE J. Solid State Circuits, 2017

On designing optimal camouflaged layouts.
Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust, 2017

A field programmable transistor array featuring single-cycle partial/full dynamic reconfiguration.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Are standalone gate size and VT optimization tools useful?
Proceedings of the 30th IEEE Canadian Conference on Electrical and Computer Engineering, 2017

2016
11.1 Dual-MEMS-resonator temperature-to-digital converter with 40 K resolution and FOM of 0.12pJK2.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2015
A New Approach for Gate-Level Delay-Insensitive Asynchronous Logic.
Circuits Syst. Signal Process., 2015

2014
TonyChopper: a desynchronization package.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

2013
Library-Based Cell-Size Selection Using Extended Logical Effort.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Low-voltage low-overhead asynchronous logic.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

2012
Post-synthesis leakage power minimization.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Power efficient partial product compression.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

Power reduction via near-optimal library-based cell-size selection.
Proceedings of the Design, Automation and Test in Europe, 2011

Power reduction via separate synthesis and physical libraries.
Proceedings of the 48th Design Automation Conference, 2011

2008
Nonconvex Gate Delay Modeling and Delay Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

2007
Post-layout comparison of high performance 64b static adders in energy-delay space.
Proceedings of the 25th International Conference on Computer Design, 2007

2006
A 64b adder using self-calibrating differential output prediction logic.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

Post-layout energy-delay analysis of parallel multipliers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

2005
Efficient Post-layout Power-Delay Curve Generation.
Proceedings of the Integrated Circuit and System Design, 2005

409ps 4.7 FO4 64b Adder Based on Output Prediction Logic in 0.18um CMOS.
Proceedings of the 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), 2005

High Speed Redundant Adder and Divider in Output Prediction Logic.
Proceedings of the 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), 2005

Efficient and accurate gate sizing with piecewise convex delay models.
Proceedings of the 42nd Design Automation Conference, 2005

A high throughput divider implementation.
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005

2004
Efficient timing closure without timing driven placement and routing.
Proceedings of the 41th Design Automation Conference, 2004

A high performance CMOS programmable logic core.
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, 2004

2003
Design and synthesis of dynamic circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Efficient canonical form for Boolean matching of complex functions in large libraries.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

A low power delayed-clocks generation and distribution system.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Libraries: lifejacket or straitjacket.
Proceedings of the 40th Design Automation Conference, 2003

2002
Locally clocked pipelines and dynamic logic.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Optimized power-delay curve generation for standard cell ICs.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

WTA: waveform-based timing analysis for deep submicron circuits.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

2001
Timing- and crosstalk-driven area routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Automatic datapath tile placement and routing.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

Panel: (When) Will FPGAs Kill ASICs?
Proceedings of the 38th Design Automation Conference, 2001

A High-Performance 64-bit Adder Implemented in Output Prediction Logic.
Proceedings of the 19th Conference on Advanced Research in VLSI (ARVLSI 2001), 2001

2000
Clock-delayed domino for dynamic circuit design.
IEEE Trans. Very Large Scale Integr. Syst., 2000

An Automated Shielding Algorithm and Tool For Dynamic Circuits.
Proceedings of the 1st International Symposium on Quality of Electronic Design (ISQED 2000), 2000

Output Prediction Logic: A High-Performance CMOS Design Technique.
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000

Delay Minimization and Technology Mapping of Two-Level Structures and Implementation Using Clock-Delayed Domino Logic.
Proceedings of the 2000 Design, 2000

A locally-clocked dynamic logic serial/parallel multiplier.
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, 2000

1999
A gridless multilayer router for standard cell circuits using CTMcells.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Multilayer chip-level global routing using an efficient graph-based Steiner tree heuristic.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Multilayer pin assignment for macro cell circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Design and Synthesis of Monotonic Circuits.
Proceedings of the IEEE International Conference On Computer Design, 1999

AKORD: transistor level and mixed transistor/gate level placement tool for digital data paths.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

1998
Chip-level area routing.
Proceedings of the 1998 International Symposium on Physical Design, 1998

Domino logic synthesis using complex static gates.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

1997
Efficient approximation of symbolic network functions using matroid intersection algorithms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

A parallel standard cell placement algorithm.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

A gridless multi-layer router for standard cell circuits using CTM cells.
Proceedings of the European Design and Test Conference, 1997

Multi-layer chip-level global routing using an efficient graph-based Steiner tree heuristic.
Proceedings of the European Design and Test Conference, 1997

The Future of Custom Cell Generation in Physical Synthesis.
Proceedings of the 34st Conference on Design Automation, 1997

1996
A Timing-Driven Partitioning System for Multiple FPGAs.
VLSI Design, 1996

A Sea-of-Gates Style FPGA Placement Algorithm.
VLSI Design, 1996

Generation of colour-constrained spanning trees with application in symbolic circuit analysis.
Int. J. Circuit Theory Appl., 1996

Clock-Delayed Domino for Adder and Combinational Logic Desig.
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996

Large Standard Cell Libraries and Their Impact on Layout Area and Circuit Performanc.
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996

1995
Efficient and effective placement for very large circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

An efficient method for generating exhaustive test sets.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Efficient Approximation of Symbolic Network Function Using Matroid Intersection Algorithms.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

Accurate Extraction of Simplified Symbolic Pole/Zero Expressions for Large Analog IC's.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

Multiple FPGA Partitioning with Performance Optimization.
Proceedings of the Third International ACM Symposium on Field-Programmable Gate Arrays, 1995

Timing Driven Placement for Large Standard Cell Circuits.
Proceedings of the 32st Conference on Design Automation, 1995

A Method for Finding Good Ashenhurst Decompositions and Its Application to FPGA Synthesis.
Proceedings of the 32st Conference on Design Automation, 1995

Quasi-algebraic decompositions of switching functions.
Proceedings of the 16th Conference on Advanced Research in VLSI (ARVLSI '95), 1995

1994
Boolean division and factorization using binary decision diagrams.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

Approximate symbolic analysis of large analog integrated circuits.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

A loosely coupled parallel algorithm for standard cell placement.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

Generation of color-constrained spanning trees with application in symbolic circuit analysis.
Proceedings of the Fourth Great Lakes Symposium on Design Automation of High Performance VLSI Systems, 1994

1993
A new generalized row-based global router.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

Maximum projections of don't care conditions in a Boolean network.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

1991
A global router for sea-of-gates circuits.
Proceedings of the conference on European design automation, 1991

Mickey: a macro cell global router.
Proceedings of the conference on European design automation, 1991

1990
New Algorithms for the Placement and Routing of Macro Cells.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

1988
An improved objective function for mincut circuit partitioning.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

A new global router for row-based layout.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

Chip-Planning, Placement, and Global Routing of Macro/Custom Cell Integrated Circuits Using Simulated Annealing.
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988

1986
TimberWolf3.2: a new standard cell placement and global routing package.
Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, 1986


  Loading...