Jeyavijayan Rajendran

Orcid: 0000-0003-3687-3746

Affiliations:
  • Texas A&M University, Kingsville, TX, USA


According to our database1, Jeyavijayan Rajendran authored at least 109 papers between 2010 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
DETERRENT: Detecting Trojans Using Reinforcement Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

ModSRAM: Algorithm-Hardware Co-Design for Large Number Modular Multiplication in SRAM.
CoRR, 2024

AttackGNN: Red-Teaming GNNs in Hardware Security Using Reinforcement Learning.
CoRR, 2024

WhisperFuzz: White-Box Fuzzing for Detecting and Locating Timing Vulnerabilities in Processors.
CoRR, 2024

Make Every Move Count: LLM-based High-Quality RTL Code Generation Using MCTS.
CoRR, 2024

2023
MABFuzz: Multi-Armed Bandit Algorithms for Fuzzing Processors.
CoRR, 2023

Securing Cloud FPGAs Against Power Side-Channel Attacks: A Case Study on Iterative AES.
CoRR, 2023

LLM-assisted Generation of Hardware Assertions.
CoRR, 2023

FuncTeller: How Well Does eFPGA Hide Functionality?
Proceedings of the 32nd USENIX Security Symposium, 2023

HyPFuzz: Formal-Assisted Processor Fuzzing.
Proceedings of the 32nd USENIX Security Symposium, 2023

PSOFuzz: Fuzzing Processors with Particle Swarm Optimization.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

ExploreFault: Identifying Exploitable Fault Models in Block Ciphers with Reinforcement Learning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
Towards Provably-Secure Analog and Mixed-Signal Locking Against Overproduction.
IEEE Trans. Emerg. Top. Comput., 2022

Vulnerability Assessment of Ciphers To Fault Attacks Using Reinforcement Learning.
IACR Cryptol. ePrint Arch., 2022

Guest Editors' Introduction: Special Issue on 2021 Top Picks in Hardware and Embedded Security.
IEEE Des. Test, 2022

TheHuzz: Instruction Fuzzing of Processors Using Golden-Reference Models for Finding Software-Exploitable Vulnerabilities.
Proceedings of the 31st USENIX Security Symposium, 2022

Reinforcement Learning for Hardware Security: Opportunities, Developments, and Challenges.
Proceedings of the 19th International SoC Design Conference, 2022

Hardware IP Protection against Confidentiality Attacks and Evolving Role of CAD Tool.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Trusting the trust anchor: towards detecting cross-layer vulnerabilities with hardware fuzzing.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

ATTRITION: Attacking Static Hardware Trojan Detection Techniques Using Reinforcement Learning.
Proceedings of the 2022 ACM SIGSAC Conference on Computer and Communications Security, 2022

2021
Games, Dollars, Splits: A Game-Theoretic Analysis of Split Manufacturing.
IEEE Trans. Inf. Forensics Secur., 2021

Analog/RF IP Protection: Attack Models, Defense Techniques, and Challenges.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Guest Editors' Introduction: Competing to Secure SoCs.
IEEE Des. Test, 2021

Does logic locking work with EDA tools?
Proceedings of the 30th USENIX Security Symposium, 2021

Organizing The World's Largest Hardware Security Competition: Challenges, Opportunities, and Lessons Learned.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

2020
Breaking Analog Locking Techniques.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Removal Attacks on Logic Locking and Camouflaging Techniques.
IEEE Trans. Emerg. Top. Comput., 2020

Thwarting Replication Attack Against Memristor-Based Neuromorphic Computing System.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Keynote: A Disquisition on Logic Locking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Benchmarking at the Frontier of Hardware Security: Lessons from Logic Locking.
CoRR, 2020

Security of Cloud FPGAs: A Survey.
CoRR, 2020

Schmitt Trigger-Based Key Provisioning for Locking Analog/RF Integrated Circuits.
Proceedings of the IEEE International Test Conference, 2020

Multi-Objective Strategies for Stripped-Functionality Logic Locking.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Trustworthy Hardware Design: Combinational Logic Locking Techniques
Springer, ISBN: 978-3-030-15333-5, 2020

2019
Special Session: Countering IP Security threats in Supply chain.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

HardFails: Insights into Software-Exploitable Hardware Bugs.
Proceedings of the 28th USENIX Security Symposium, 2019

Breaking Analog Locking Techniques via Satisfiability Modulo Theories.
Proceedings of the IEEE International Test Conference, 2019

Red Teaming a Multi-Colored Bluetooth Bulb.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

SFLL-HLS: Stripped-Functionality Logic Locking Meets High-Level Synthesis.
Proceedings of the International Conference on Computer-Aided Design, 2019

Layout recognition attacks on split manufacturing.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

2018
The Cat and Mouse in Split Manufacturing.
IEEE Trans. Very Large Scale Integr. Syst., 2018

When a Patch is Not Enough - HardFails: Software-Exploitable Hardware Bugs.
CoRR, 2018

Special session: Recent developments in hardware security.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Towards provably-secure performance locking.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Testing the Trustworthiness of IC Testing: An Oracle-Less Attack on IC Camouflaging.
IEEE Trans. Inf. Forensics Secur., 2017

Innovative practices session 3C hardware security.
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

DFS covert channels on multi-core platforms.
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017

An overview of hardware intellectual property protection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Front-end-of-line attacks in split manufacturing.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Making split fabrication synergistically secure and manufacturable.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

TTLock: Tenacious and traceless logic locking.
Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust, 2017

On designing optimal camouflaged layouts.
Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust, 2017

What to Lock?: Functional and Parametric Locking.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Provably-Secure Logic Locking: From Theory To Practice.
Proceedings of the 2017 ACM SIGSAC Conference on Computer and Communications Security, 2017

Boolean Circuit Camouflage: Cryptographic Models, Limitations, Provable Results and a Random Oracle Realization.
Proceedings of the 2017 Workshop on Attacks and Solutions in Hardware Security, 2017

Routing perturbation for enhanced security in split manufacturing.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Building Trustworthy Systems Using Untrusted Components: A High-Level Synthesis Approach.
IEEE Trans. Very Large Scale Integr. Syst., 2016

On Improving the Security of Logic Locking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Security Analysis of Anti-SAT.
IACR Cryptol. ePrint Arch., 2016

Supply-Chain Security of Digital Microfluidic Biochips.
Computer, 2016

Formal Security Verification of Third Party Intellectual Property Cores for Information Leakage.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

Securing pressure measurements using SensorPUFs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Hardware-based attacks to compromise the cryptographic security of an election system.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

CamoPerturb: secure IC camouflaging for minterm protection.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Security of neuromorphic computing: thwarting learning attacks using memristor's obsolescence effect.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

SARLock: SAT attack resistant logic locking.
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016

Sneak path enabled authentication for memristive crossbar memories.
Proceedings of the 2016 IEEE Asian Hardware-Oriented Security and Trust, 2016

Controlling your control flow graph.
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016

Activation of logic encrypted chips: Pre-test or post-test?
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

The cat and mouse in split manufacturing.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Belling the CAD: Toward Security-Centric Electronic System Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Fault Analysis-Based Logic Encryption.
IEEE Trans. Computers, 2015

Improving Tolerance to Variations in Memristor-Based Applications Using Parallel Memristors.
IEEE Trans. Computers, 2015

Nano Meets Security: Exploring Nanoelectronic Devices for Security Applications.
Proc. IEEE, 2015

Detecting malicious modifications of data in third-party intellectual property cores.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Shielding Heterogeneous MPSoCs From Untrustworthy 3PIPs Through Security- Driven Task Scheduling.
IEEE Trans. Emerg. Top. Comput., 2014

Regaining Trust in VLSI Design: Design-for-Trust Techniques.
Proc. IEEE, 2014

Hot topic session 9C: Test and fault tolerance for emerging memory technologies.
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

Towards Secure Analog Designs: A Secure Sense Amplifier Using Memristors.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Shielding and securing integrated circuits with sensors.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

A Red Team/Blue Team Assessment of Functional Analysis Methods for Malicious Circuit Identification.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Securing Processors Against Insider Attacks: A Circuit-Microarchitecture Co-Design Approach.
IEEE Des. Test, 2013

A study on the effectiveness of Trojan detection techniques using a red team blue team approach.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Sneak-path Testing of Memristor-based Memories.
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013

VLSI testing based security metric for IC camouflaging.
Proceedings of the 2013 IEEE International Test Conference, 2013

High-level synthesis for security and trust.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Hardware security: threat models and metrics.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Reconciling the IC test and security dichotomy.
Proceedings of the 18th IEEE European Test Symposium, 2013

Is split manufacturing secure?
Proceedings of the Design, Automation and Test in Europe, 2013

Security analysis of integrated circuit camouflaging.
Proceedings of the 2013 ACM SIGSAC Conference on Computer and Communications Security, 2013

Hardware security strategies exploiting nanoelectronic circuits.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Stochastic Gradient Descent Inspired Training Technique for a CMOS/Nano Memristive Trainable Threshold Gate Array.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

An Energy-Efficient Memristive Threshold Logic Circuit.
IEEE Trans. Computers, 2012

Leveraging Memristive Systems in the Construction of Digital Logic Circuits.
Proc. IEEE, 2012

Design Considerations for Multilevel CMOS/Nano Memristive Memory.
ACM J. Emerg. Technol. Comput. Syst., 2012

Nanoelectronic Solutions for Hardware Security.
IACR Cryptol. ePrint Arch., 2012

Nano-PPUF: A Memristor-Based Security Primitive.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012

Engineering crossbar based emerging memory technologies.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Logic encryption: A fault analysis perspective.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Security analysis of logic obfuscation.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Trustworthy Hardware: Trojan Detection and Design-for-Trust Challenges.
Computer, 2011

Design and analysis of ring oscillator based Design-for-Trust technique.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

An Approach to Tolerate Process Related Variations in Memristor-Based Applications.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

Parallel memristors: Improving variation tolerance in memristive digital circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Blue team red team approach to hardware trust assessment.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

2010
Trustworthy Hardware: Identifying and Classifying Hardware Trojans.
Computer, 2010

Memristor based programmable threshold logic array.
Proceedings of the 2010 IEEE/ACM International Symposium on Nanoscale Architectures, 2010

Towards a comprehensive and systematic classification of hardware Trojans.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

SLICED: Slide-based Concurrent Error Detection Technique for Symmetric Block Ciphers.
Proceedings of the HOST 2010, 2010


  Loading...