D. M. H. Walker

Orcid: 0000-0002-4839-3830

Affiliations:
  • Texas A&M University, College Station, Texas, USA


According to our database1, D. M. H. Walker authored at least 85 papers between 1986 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Topological Heuristics for Scan Test Overhead Reduction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., June, 2023

2020
Observability Driven Path Generation for Delay Test Coverage Improvement in Scan Limited Circuits.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2020

2017
Improved Path Recovery in Pseudo Functional Path Delay Test Using Extended Value Algebra.
Proceedings of the 30th International Conference on VLSI Design and 16th International Conference on Embedded Systems, 2017

2015
Pattern Generation for Understanding Timing Sensitivity to Power Supply Noise.
J. Electron. Test., 2015

Pseudo Functional Path Delay Test through Embedded Memories.
J. Electron. Test., 2015

At-Speed Path Delay Test.
Proceedings of the 24th IEEE North Atlantic Test Workshop, 2015

Optimizing VMIN of ROM Arrays Without Loss of Noise Margin.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Impact of test compression on power supply noise control.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

2014
Improved power supply noise control for pseudo functional test.
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

Techniques to Improve the Efficiency of SAT Based Path Delay Test Generation.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

Pattern Generation for Post-Silicon Timing Validation Considering Power Supply Noise.
Proceedings of the IEEE 23rd North Atlantic Test Workshop, 2014

Delay Test of Embedded Memories.
Proceedings of the IEEE 23rd North Atlantic Test Workshop, 2014

K Longest Paths.
Proceedings of the Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits., 2014

2013
Power supply noise control in pseudo functional test.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Mixed structural-functional path delay test generation and compaction.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

2012
Maximizing crosstalk-induced slowdown during path delay test.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

2011
Levelized low cost delay test compaction considering IR-drop induced power supply noise.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

2009
Compact Delay Test Generation with a Realistic Low Cost Fault Coverage Metric.
Proceedings of the 27th IEEE VLSI Test Symposium, 2009

Challenges in Delay Testing of Integrated Circuits.
Proceedings of the 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2009

2008
A probabilistic method to determine the minimum leakage vector for combinational designs in the presence of random PVT variations.
Integr., 2008

Dynamic Compaction for High Quality Delay Test.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

2007
Modeling Power Supply Noise in Delay Testing.
IEEE Des. Test Comput., 2007

2006
Estimation of fault-free leakage current using wafer-level spatial information.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Statistical Static Timing Analysis Considering the Impact of Power Supply Noise in {VLSI} Circuits.
Proceedings of the Seventh International Workshop on Microprocessor Test and Verification (MTV 2006), 2006

Power Supply Noise in Delay Testing.
Proceedings of the 2006 IEEE International Test Conference, 2006

Comparison of Delay Tests on Silicon.
Proceedings of the 2006 IEEE International Test Conference, 2006

2005
Longest-path selection for delay test under process variation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

IC Outlier Identification Using Multiple Test Metrics.
IEEE Des. Test Comput., 2005

Static Compaction of Delay Tests Considering Power Supply Noise.
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005

Is IDDQ Test of Microprocessors Feasible?
Proceedings of the Sixth International Workshop on Microprocessor Test and Verification (MTV 2005), 2005

Reliable energy efficient routing in wireless sensor networks.
Proceedings of the IEEE 2nd International Conference on Mobile Adhoc and Sensor Systems, 2005

I<sub>DDQ</sub> test using built-in current sensing of supply line voltage drop.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

A vector-based approach for power supply noise analysis in test compaction.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

A Fast Algorithm for Critical Path Tracing in VLSI Digital Circuits.
Proceedings of the 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 2005

2004
I<sub>DDX</sub>-based test methods: A survey.
ACM Trans. Design Autom. Electr. Syst., 2004

I<sub>DDQ</sub> data analysis using neighbor current ratios.
J. Syst. Archit., 2004

On Comparison of NCR Effectiveness with a Reduced I{DDQ} Vector Set.
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004

A Statistical Fault Coverage Metric for Realistic Path Delay Faults.
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004

Comparison of Effectiveness of Current Ratio and Delta-IDDQ Tests.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

A Circuit Level Fault Model for Resistive Shorts of MOS Gate Oxide.
Proceedings of the Fifth International Workshop on Microprocessor Test and Verification (MTV 2004), 2004

K Longest Paths Per Gate (KLPG) Test Generation for Scan-Based Sequential Circuits.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

PARADE: PARAmetric Delay Evaluation under Process Variation.
Proceedings of the 5th International Symposium on Quality of Electronic Design (ISQED 2004), 2004

2003
A circuit level fault model for resistive bridges.
ACM Trans. Design Autom. Electr. Syst., 2003

Use of Multiple IDDQ Test Metrics for Outlier Identification.
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003

A Circuit Level Fault Model for Resistive Opens and Bridges.
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003

Immediate Neighbor Difference IDDQ Test (INDIT) for Outlier Identification.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Testing the Path Delay Faults of ISCAS85 Circuit c6288.
Proceedings of the Fourth International Workshop on Microprocessor Test and Verification, 2003

An Efficient Algorithm for Finding the K Longest Testable Paths Through Each Gate in a Combinational Circuit.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

CROWNE: Current Ratio Outliers with Neighbor Estimator.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

CodSim -- A Combined Delay Fault Simulator.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

Chip Level Power Supply Partitioning for IDDQ Testing Using Built-In Current Sensors.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

2002
IDDQ Test: Will It Survive the DSM Challenge?
IEEE Des. Test Comput., 2002

Evaluation of Effectiveness of Median of Absolute Deviations Outlier Rejection-based IDDQ Testing for Burn-in Reduction.
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002

Evaluation of Statistical Outlier Rejection Methods for IDDQ Limit Setting.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Neighbor Current Ratio (NCR): A New Metric for IDDQ Data Analysis.
Proceedings of the 17th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2002), 2002

2001
FedEx - a fast bridging fault extractor.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

Improved wafer-level spatial analysis for I_DDQ limit setting.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

A practical built-in current sensor for I_DDQ testing.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

An efficient solution to the storage correspondence problem for large sequential circuits.
Proceedings of ASP-DAC 2001, 2001

2000
PROBE: A PPSFP Simulator for Resistive Bridging Faults.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000

Timing Analysis of Combinational Circuits Including Capacitive Coupling and Statistical Process Variation.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000

Computer-aided fault to defect mapping (CAFDM) for defect diagnosis.
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000

1999
Simulation-Based Design Error Diagnosis and Correction in Combinational Digital Circuits.
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999

Design for Yield and Reliability is MORE Important Than DFT.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

Resistive bridge fault modeling, simulation and test generation.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

IDDQ Testing of Input/Output Resources of SRAM-Based FPGAs.
Proceedings of the 8th Asian Test Symposium (ATS '99), 1999

1998
IDDQ Testing of Bridging Faults in Logic Resources of Reconfigurable Field Programmable Gate Arrays.
IEEE Trans. Computers, 1998

Detection of bridging faults in logic resources of configurable FPGAs using I_DDQ.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

Bridging Fault Detection in FPGA Interconnects Using <i>I<sub>DDQ</sub></i>.
Proceedings of the 1998 ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays, 1998

Accurate Fault Modeling and Fault Simulation of Resistive Bridges.
Proceedings of the 13th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '98), 1998

1996
Optimal voltage testing for physically-based faults.
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996

Improvement of SRAM-based failure analysis using calibrated Iddq testing.
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996

Test Generation for Global Delay Faults.
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996

Fault Coverage Analysis for Physically-Based CMOS Bridging Faults at Different Power Supply Voltages.
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996

Fatal Fault Probability Prediction for Array Based Designs.
Proceedings of the 1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1996

1995
IC Performance Prediction System.
Proceedings of the Proceedings IEEE International Test Conference 1995, 1995

Yiel Learning via Functional Test Data.
Proceedings of the Proceedings IEEE International Test Conference 1995, 1995

Accurate yield estimation of circuits with redundancy.
Proceedings of the 1995 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1995

1994
Semiconductor wafer representation for TCAD.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

1993
The CDB/HCDB semiconductor wafer representation server.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Estimation of reject ratio in testing of combinatorial circuits.
Proceedings of the 11th IEEE VLSI Test Symposium (VTS'93), 1993

Test quality and yield analysis using the DEFAM defect to fault mapper.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

1991
A Semiconductor Wafer Representation Database and Its Use in the PREDITOR Process Editor and Statistical Simulator.
Proceedings of the 28th Design Automation Conference, 1991

1990
DVLASIC: catastrophic fault yield simulation in a distributed processing environment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

1986
VLASIC: A Catastrophic Fault Yield Simulator for Integrated Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1986


  Loading...