Wojciech Maly

Affiliations:
  • Carnegie Mellon University, Pittsburgh, USA


According to our database1, Wojciech Maly authored at least 101 papers between 1982 and 2015.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 1991, "For contributions to the design if integrated circuits for manufacturability.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2015
Three-Dimensional Chips Can Be Cool: Thermal Study of VeSFET-Based 3-D Chips.
IEEE Trans. Very Large Scale Integr. Syst., 2015

2014
Characterizing VeSFET-Based ICs With CMOS-Oriented EDA Infrastructure.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

2013
Designing VeSFET-based ICs with CMOS-oriented EDA infrastructure.
Proceedings of the International Symposium on Physical Design, 2013

2012
Vertical Slit Field Effect Transistor in ultra-low power applications.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

2011
On Cell Layout-Performance Relationships in VeSFET-Based, High-Density Regular Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Vertical slit transistor based integrated circuits (veSTICs): feasibility study.
Proceedings of the 2011 International Symposium on Physical Design, 2011

2010
Layout Generator for Transistor-Level High-Density Regular Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Evaluating yield and testing impact of sub-wavelength lithography.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Performance study of VeSFET-based, high-density regular circuits.
Proceedings of the 2010 International Symposium on Physical Design, 2010

2009
Vertical slit transistor based integrated circuits (VeSTICs) paradigm.
Proceedings of the 2009 International Symposium on Physical Design, 2009

Transistor-level layout of high-density regular circuits.
Proceedings of the 2009 International Symposium on Physical Design, 2009

Adder Circuits with Transistors using Independently Controlled Gates.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

2008
Is there always performance overhead for regular fabric?
Proceedings of the 26th International Conference on Computer Design, 2008

More Moore: foolish, feasible, or fundamentally different?
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

2007
OPC-Free and Minimally Irregular IC Design Style.
Proceedings of the 44th Design Automation Conference, 2007

2006
Extracting Defect Density and Size Distributions from Product ICs.
IEEE Des. Test Comput., 2006

Extraction of defect density and size distributions from wafer sort test results.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2005
2.5-dimensional VLSI system integration.
IEEE Trans. Very Large Scale Integr. Syst., 2005

2004
Benchmarking Diagnosis Algorithms With a Diverse Set of IC Deformations.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

2.5D system integration: a design driven system implementation schema.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
Progressive Bridge Identification.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

Deformations of IC Structure in Test and Yield Learning.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

Physical Design of the "2.5D" Stacked System.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

2002
Modeling the Economics of Testing: A DFT Perspective.
IEEE Des. Test Comput., 2002

Fault Tuples in Diagnosis of Deep-Submicron Circuits.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

2001
Limitations and challenges of computer-aided design technology for CMOS VLSI.
Proc. IEEE, 2001

Enabling Embedded Memory Diagnosis via Test Response Compression.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

Test response compression and bitmap encoding for embedded memories in manufacturing process monitoring.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

Quality of Design from an IC Manufacturing Perspective.
Proceedings of the 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 2001

Interconnect characteristics of 2.5-D system integration scheme.
Proceedings of the 2001 International Symposium on Physical Design, 2001

IC Design in High-Cost Nanometer-Technologies Era.
Proceedings of the 38th Design Automation Conference, 2001

2000
Cost based tradeoff analysis of standard cell designs.
Proceedings of the Second IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2000), 2000

1999
A DRC-based algorithm for extraction of critical areas for opens in large VLSI circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

An algorithm for determining repetitive patterns in very large IC layouts.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

1998
Toward understanding "Iddq-only" fails.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

Current signatures: application [to CMOS].
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

A pattern matching algorithm for verification and analysis of very large IC layouts.
Proceedings of the 1998 International Symposium on Physical Design, 1998

Moore's law and physical design of ICs.
Proceedings of the 1998 International Symposium on Physical Design, 1998

Design-Manufacturing Interface: Part II - Applications.
Proceedings of the 1998 Design, 1998

Design-Manufacturing Interface: Part I - Vision.
Proceedings of the 1998 Design, 1998

Performance - Manufacturability Tradeoffs in IC Design.
Proceedings of the 1998 Design, 1998

1997
Behavior and testability preservation under the retiming transformation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Smart Substrate MCMs.
J. Electron. Test., 1997

To DFT or Not to DFT?
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997

So What Is an Optimal Test Mix? A Discussion of the SEMATECH Methods Experiment.
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997

Current Signatures: Application.
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997

Detection of Yield Trends.
Proceedings of the 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 1997

Improved Yield Model for Submicron Domain.
Proceedings of the 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 1997

CAD at the Design-Manufacturing Interface.
Proceedings of the 34st Conference on Design Automation, 1997

1996
A complexity analysis of sequential ATPG.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

The future of IC design, testing, and manufacturing.
IEEE Des. Test Comput., 1996

Fault characterization of standard cell libraries using inductive contamination.
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996

Current signatures [VLSI circuit testing].
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996

Can Defect-Tolerant Chips Better Meet the Quality Challenge?
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996

I<sub>DDQ</sub> Test: Sensitivity Analysis of Scaling.
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996

New and Not-So-New Test Challenges of the Next Decade.
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996

Modeling the Difficulty of Sequential Automatic Test Pattern Generation.
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996

Design for manufacturability in submicron domain.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

Interconnect yield model for manufacturability prediction in synthesis of standard cell based designs.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

Extraction of critical areas for opens in large VLSI circuits.
Proceedings of the 1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1996

Detection of an antenna effect in VLSI designs.
Proceedings of the 1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1996

Fatal Fault Probability Prediction for Array Based Designs.
Proceedings of the 1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1996

Iddq Testing for High Performance CMOS - The Next Ten Years.
Proceedings of the 1996 European Design and Test Conference, 1996

1995
Testability Implications of Performance-Driven Logic Synthesis.
IEEE Des. Test Comput., 1995

Inductive Contamination Analysis (ICA) with SRAM Application.
Proceedings of the Proceedings IEEE International Test Conference 1995, 1995

Hierarchical extraction of critical area for shorts in very large ICs.
Proceedings of the 1995 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1995

Accurate yield estimation of circuits with redundancy.
Proceedings of the 1995 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1995

AFFCCA: a tool for critical area analysis with circular defects and lithography deformed layout.
Proceedings of the 1995 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 1995

Complexity of sequential ATPG.
Proceedings of the 1995 European Design and Test Conference, 1995

On Test Set Preservation of Retimed Circuits.
Proceedings of the 32st Conference on Design Automation, 1995

1994
Smart-Substrate Multichip-Module Systems.
IEEE Des. Test Comput., 1994

Integration of Design, Manufacturing and Testing.
Proceedings of the Proceedings IEEE International Test Conference 1994, 1994

Feasibility Study of Smart Substrate Multichip Modules.
Proceedings of the Proceedings IEEE International Test Conference 1994, 1994

Cost of Silicon Viewed from VLSI Design Perspective.
Proceedings of the 31st Conference on Design Automation, 1994

1993
Failure Analysis of High-Density CMOS SRAMs: Using Realistic Defect Modeling and I/Sub DDQ/ Testing.
IEEE Des. Test Comput., 1993

Computer-aided failure analysis of VLSI circuits using I<sub>DDQ </sub> testing.
Proceedings of the 11th IEEE VLSI Test Symposium (VTS'93), 1993

Estimation of reject ratio in testing of combinatorial circuits.
Proceedings of the 11th IEEE VLSI Test Symposium (VTS'93), 1993

1992
Design of ICs applying built-in current testing.
J. Electron. Test., 1992

Prospects for WSI: A Manufacturing Perspective.
Computer, 1992

Design for testability view on placement and routing.
Proceedings of the conference on European design automation, 1992

1991
Stuck Fault and Current Testing Comparison Using CMOS Chip Test.
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991

Fault Modeling for the Testing of Mixed Integrated Circuits.
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991

Improving the Quality of Test Education.
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991

What is Design for Manufacturability (DFM)? (Panel Abstract).
Proceedings of the 28th Design Automation Conference, 1991

1990
Computer-aided design for VLSI circuit manufacturability.
Proc. IEEE, 1990

Test Generation for Current Testing (CMOS ICs).
IEEE Des. Test Comput., 1990

CMOS bridging fault detection.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990

Current testing.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990

1989
Process Monitoring Oriented IC Testing.
Proceedings of the Proceedings International Test Conference 1989, 1989

Layout-driven test generation.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989

1988
Current sensing for built-in testing of CMOS circuits.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988

Testing oriented analysis of CMOS ICs with opens.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

Built-in current testing-feasibility study.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

1987
Realistic Fault Modeling for VLSI Testing.
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987

1986
VLSI Yield Prediction and Estimation: A Unified Framework.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1986

Optimal order of the VLSI IC testing sequence.
Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, 1986

1985
Tolerance Assignment for IC Selection Tests.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1985

Modeling of Lithography Related Yield Losses for CAD of VLSI Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1985

Inductive Fault Analysis of MOS Integrated Circuits.
IEEE Des. Test, 1985

1984
Systematic Characterization of Physical Defects for Fault Analysis of MOS IC Cells.
Proceedings of the Proceedings International Test Conference 1984, 1984

1982
Statistical Simulation of the IC Manufacturing Process.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1982


  Loading...