Ganesh Gopalakrishnan

Orcid: 0000-0002-4161-9278

Affiliations:
  • University of Utah, Salt Lake City, Utah, USA


According to our database1, Ganesh Gopalakrishnan authored at least 213 papers between 1987 and 2024.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2010, "For leadership in microwave photonics and high-speed optical lithium niobate modulator development".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
FlowFPX: Nimble Tools for Debugging Floating-Point Exceptions.
CoRR, 2024

FTTN: Feature-Targeted Testing for Numerical Properties of NVIDIA & AMD Matrix Accelerators.
CoRR, 2024

Rigorous Error Analysis for Logarithmic Number Systems.
CoRR, 2024

A GPU accelerated mixed-precision Smoothed Particle Hydrodynamics framework with cell-based relative coordinates.
CoRR, 2024

HiRace: Accurate and Fast Source-Level Race Checking of GPU Programs.
CoRR, 2024

2023
Efficient linearizability checking for actor-based systems.
Softw. Pract. Exp., November, 2023

Finding inputs that trigger floating-point exceptions in heterogeneous computing via Bayesian optimization.
Parallel Comput., September, 2023

Report of the DOE/NSF Workshop on Correctness in Scientific Computing, June 2023, Orlando, FL.
CoRR, 2023

MPGemmFI: A Fault Injection Technique for Mixed Precision GEMM in ML Applications.
CoRR, 2023

Understanding the Effect of the Long Tail on Neural Network Compression.
CoRR, 2023

An NSF REU Site Based on Trust and Reproducibility of Intelligent Computation: Experience Report.
Proceedings of the SC '23 Workshops of The International Conference on High Performance Computing, 2023

What Operations can be Performed Directly on Compressed Arrays, and with What Error?
Proceedings of the SC '23 Workshops of The International Conference on High Performance Computing, 2023

Design and Evaluation of GPU-FPX: A Low-Overhead tool for Floating-Point Exception Detection in NVIDIA GPUs.
Proceedings of the 32nd International Symposium on High-Performance Parallel and Distributed Computing, 2023

2022
Satellite observed new mechanism of Kuroshio intrusion into the northern South China Sea.
Int. J. Appl. Earth Obs. Geoinformation, 2022

Toward Increasing Trust in Exascale Simulations.
Proceedings of the 4th Annual Workshop on Extreme-scale Experiment-in-the-Loop Computing, 2022

Finding Inputs that Trigger Floating-Point Exceptions in GPUs via Bayesian Optimization.
Proceedings of the SC22: International Conference for High Performance Computing, 2022

BinFPE: accurate floating-point exception detection for GPU applications.
Proceedings of the SOAP '22: 11th ACM SIGPLAN International Workshop on the State Of the Art in Program Analysis, 2022

An HPC Practitioner's Workbench for Formal Refinement Checking.
Proceedings of the Languages and Compilers for Parallel Computing, 2022

FPChecker: Floating-Point Exception Detection Tool and Benchmark for Parallel and Distributed HPC.
Proceedings of the IEEE International Symposium on Workload Characterization, 2022

ASAP: automatic synthesis of area-efficient and precision-aware CGRAs.
Proceedings of the ICS '22: 2022 International Conference on Supercomputing, Virtual Event, June 28, 2022

Towards Precision-Aware Fault Tolerance Approaches for Mixed-Precision Applications.
Proceedings of the 12th IEEE/ACM Workshop on Fault Tolerance for HPC at eXtreme Scale, 2022

2021
Impacts of the Kuroshio Intrusion through the Luzon Strait on the Local Precipitation Anomaly.
Remote. Sens., 2021

Automated Dynamic Concurrency Analysis for Go.
CoRR, 2021

Keeping science on keel when software moves.
Commun. ACM, 2021

Automata and Computability Education via Jove.
Proceedings of the SIGCSE '21: The 52nd ACM Technical Symposium on Computer Science Education, 2021

GoAT: Automated Concurrency Analysis and Debugging Tool for Go.
Proceedings of the IEEE International Symposium on Workload Characterization, 2021

Guarding Numerics Amidst Rising Heterogeneity.
Proceedings of the 5th IEEE/ACM International Workshop on Software Correctness for HPC Applications, 2021

Robustness Analysis of Loop-Free Floating-Point Programs via Symbolic Automatic Differentiation.
Proceedings of the IEEE International Conference on Cluster Computing, 2021

2020
FPDetect: Efficient Reasoning About Stencil Programs Using Selective Direct Evaluation.
ACM Trans. Archit. Code Optim., 2020

FailAmp: Relativization Transformation for Soft Error Detection in Structured Address Generation.
ACM Trans. Archit. Code Optim., 2020

A Programmable Approach to Neural Network Compression.
IEEE Micro, 2020

Reliable Model Compression via Label-Preservation-Aware Loss Functions.
CoRR, 2020

An Abstraction-guided Approach to Scalable and Rigorous Floating-Point Error Analysis.
CoRR, 2020

Correctness-preserving Compression of Datasets and Neural Network Models.
Proceedings of the 4th IEEE/ACM International Workshop on Software Correctness for HPC Applications, 2020

Scalable yet rigorous floating-point error analysis.
Proceedings of the International Conference for High Performance Computing, 2020

ArcherGear: data race equivalencing for expeditious HPC debugging.
Proceedings of the PPoPP '20: 25th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2020

2019
Rigorous Estimation of Floating-Point Round-Off Errors with Symbolic Taylor Expansions.
ACM Trans. Program. Lang. Syst., 2019

Pruners.
Int. J. High Perform. Comput. Appl., 2019

A Programmable Approach to Model Compression.
CoRR, 2019

Message Scheduling for Performant, Many-Core Belief Propagation.
Proceedings of the 2019 IEEE High Performance Extreme Computing Conference, 2019

Multi-Level Analysis of Compiler-Induced Variability and Performance Tradeoffs.
Proceedings of the 28th International Symposium on High-Performance Parallel and Distributed Computing, 2019

DiffTrace: Efficient Whole-Program Trace Analysis and Diffing for Debugging.
Proceedings of the 2019 IEEE International Conference on Cluster Computing, 2019

2018
Sparse Matrix Code Dependence Analysis Simplification at Compile Time.
CoRR, 2018

ParLoT: Efficient Whole-Program Call Tracing for HPC Applications.
Proceedings of the Programming and Performance Visualization Tools, 2018

Using Deep Learning for Automated Communication Pattern Characterization: Little Steps and Big Challenges.
Proceedings of the Programming and Performance Visualization Tools, 2018

SWORD: A Bounded Memory-Overhead Detector of OpenMP Data Races in Production Runs.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium, 2018

An Operational Semantic Basis for Building an OpenMP Data Race Checker.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

2017
An Operational Semantic Basis for OpenMP Race Analysis.
CoRR, 2017

Report of the HPC Correctness Summit, Jan 25-26, 2017, Washington, DC.
CoRR, 2017

Rigorous floating-point mixed-precision tuning.
Proceedings of the 44th ACM SIGPLAN Symposium on Principles of Programming Languages, 2017

Moving the Needle on Rigorous Floating-Point Precision Tuning.
Proceedings of the Automated Formal Methods, 2017

FLiT: Cross-platform floating-point result-consistency tester and workload.
Proceedings of the 2017 IEEE International Symposium on Workload Characterization, 2017

2016
Portable inter-workgroup barrier synchronisation for GPUs.
Proceedings of the 2016 ACM SIGPLAN International Conference on Object-Oriented Programming, 2016

ParFuse: Parallel and Compositional Analysis of Message Passing Programs.
Proceedings of the Languages and Compilers for Parallel Computing, 2016

Toward Bringing Distributed System Design upon Rigorous Footing.
Proceedings of the 17th IEEE International Conference on Information Reuse and Integration, 2016

Towards Resiliency Evaluation of Vector Programs.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium Workshops, 2016

ARCHER: Effectively Spotting Data Races in Large OpenMP Applications.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium, 2016

Toward rigorous design of domain-specific distributed systems.
Proceedings of the 4th FME Workshop on Formal Methods in Software Engineering, 2016

PRESAGE: Protecting Structured Address Generation against Soft Errors.
Proceedings of the 23rd IEEE International Conference on High Performance Computing, 2016

2015
A Parameterized Floating-Point Formalizaton in HOL Light.
Proceedings of the Seventh and Eighth International Workshops on Numerical Software Verification, 2015

Practical Floating-Point Divergence Detection.
Proceedings of the Languages and Compilers for Parallel Computing, 2015

Achieving Formal Parallel Program Debugging by Incentivizing CS/HPC Collaborative Tool Development.
Proceedings of the 1st Workshop on The Science of Cyberinfrastructure, 2015

Rigorous Estimation of Floating-Point Round-off Errors with Symbolic Taylor Expansions.
Proceedings of the FM 2015: Formal Methods, 2015

GPU Concurrency: Weak Behaviours and Programming Assumptions.
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015

2014
Ovis: A Framework for Visual Analysisof Ocean Forecast Ensembles.
IEEE Trans. Vis. Comput. Graph., 2014

Unsafe Floating-point to Unsigned Integer Casting Check for GPU Programs.
Proceedings of the Seventh and Eighth International Workshops on Numerical Software Verification, 2014

Systematic Debugging Methods for Large-Scale HPC Computational Frameworks.
Comput. Sci. Eng., 2014

Towards providing low-overhead data race detection for large OpenMP applications.
Proceedings of the 2014 LLVM Compiler Infrastructure in HPC, 2014

Practical Symbolic Race Checking of GPU Programs.
Proceedings of the International Conference for High Performance Computing, 2014

Efficient search for inputs causing high floating-point errors.
Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2014

Systematic Debugging of Concurrent Systems Using Coalesced Stack Trace Graphs.
Proceedings of the Languages and Compilers for Parallel Computing, 2014

2013
Overcoming extreme-scale reproducibility challenges through a unified, targeted, and multilevel toolset.
Proceedings of the 1st International Workshop on Software Engineering for High Performance Computing in Computational Science and Engineering, 2013

Towards Formal Approaches to System Resilience.
Proceedings of the IEEE 19th Pacific Rim International Symposium on Dependable Computing, 2013

Formal Analysis of GPU Programs with Atomics via Conflict-Directed Delay-Bounding.
Proceedings of the NASA Formal Methods, 2013

Practical formal correctness checking of million-core problem solving environments for HPC.
Proceedings of the 5th International Workshop on Software Engineering for Computational Science and Engineering, 2013

Towards shared memory consistency models for GPUs.
Proceedings of the International Conference on Supercomputing, 2013

Hybrid approach for data-flow analysis of MPI programs.
Proceedings of the International Conference on Supercomputing, 2013

Visual analysis of uncertainties in ocean forecasts for planning and operation of off-shore structures.
Proceedings of the IEEE Pacific Visualization Symposium, 2013

2012
Preface.
Formal Methods Syst. Des., 2012

Abstract: MAPPED: Predictive Dynamic Analysis Tool for MPI Applications.
Proceedings of the 2012 SC Companion: High Performance Computing, 2012

Parametric flows: automated behavior equivalencing for symbolic analysis of races in CUDA programs.
Proceedings of the SC Conference on High Performance Computing Networking, 2012

A Sound Reduction of Persistent-Sets for Deadlock Detection in MPI Applications.
Proceedings of the Formal Methods: Foundations and Applications - 15th Brazilian Symposium, 2012

GKLEE: concolic verification and test generation for GPUs.
Proceedings of the 17th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2012

Parameterized Verification of GPU Kernel Programs.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

Formal Methods for Surviving the Jungle of Heterogeneous Parallelism.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

2011
Formal specification of MPI 2.0: Case study in specifying a practical concurrent programming API.
Sci. Comput. Program., 2011

Formal analysis of MPI-based parallel programs.
Commun. ACM, 2011

Formal Analysis of Message Passing - (Invited Talk).
Proceedings of the Verification, Model Checking, and Abstract Interpretation, 2011

Practical parallel and concurrent programming.
Proceedings of the 42nd ACM technical symposium on Computer science education, 2011

Efficient Verification Solutions for Message Passing Systems.
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011

Large Scale Verification of MPI Programs Using Lamport Clocks with Lazy Update.
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011

2010
Distributed dynamic partial order reduction.
Int. J. Softw. Tools Technol. Transf., 2010

Formal methods applied to high-performance computing software design: a case study of MPI one-sided communication-based locking.
Softw. Pract. Exp., 2010

Efficient methods for formally verifying safety properties of hierarchical cache coherence protocols.
Formal Methods Syst. Des., 2010

GEM: graphical explorer of MPI programs.
Proceedings of the ACM 2010 Symposium on Software Visualization, 2010

Scalable SMT-based verification of GPU kernel functions.
Proceedings of the 18th ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2010

Top ten ways to make formal methods for HPC practical.
Proceedings of the Workshop on Future of Software Engineering Research, 2010

A Scalable and Distributed Dynamic Formal Verifier for MPI Programs.
Proceedings of the Conference on High Performance Computing Networking, 2010

Precise Dynamic Analysis for Slack Elasticity: Adding Buffering without Adding Bugs.
Proceedings of the Recent Advances in the Message Passing Interface, 2010

Dynamic Verification of Hybrid Programs.
Proceedings of the Recent Advances in the Message Passing Interface, 2010

A symbolic verifier for CUDA programs.
Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2010

Scalable verification of MPI programs.
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010

2009
Parallel and distributed model checking in Eddy.
Int. J. Softw. Tools Technol. Transf., 2009

Automatic Discovery of Transition Symmetry in Multithreaded Programs Using Dynamic Analysis.
Proceedings of the Model Checking Software, 2009

Sound and Efficient Dynamic Verification of MPI Programs with Probe Non-determinism.
Proceedings of the Recent Advances in Parallel Virtual Machine and Message Passing Interface, 2009

Static-Analysis Assisted Dynamic Verification of MPI Waitany Programs (Poster Abstract).
Proceedings of the Recent Advances in Parallel Virtual Machine and Message Passing Interface, 2009

Practical Formal Verification of MPI and Thread Programs.
Proceedings of the Recent Advances in Parallel Virtual Machine and Message Passing Interface, 2009

How Formal Dynamic Verification Tools Facilitate Novel Concurrency Visualizations.
Proceedings of the Recent Advances in Parallel Virtual Machine and Message Passing Interface, 2009

ISP Tool Update: Scalable MPI Verification.
Proceedings of the Tools for High Performance Computing 2009, 2009

Formal verification of practical MPI programs.
Proceedings of the 14th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2009

Some resources for teaching concurrency.
Proceedings of the 7th Workshop on Parallel and Distributed Systems: Testing, 2009

Dynamic verification of Multicore Communication applications in MCAPI.
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2009

MCC: A runtime verification tool for MCAPI user applications.
Proceedings of 9th International Conference on Formal Methods in Computer-Aided Design, 2009

Reduced Execution Semantics of MPI: From Theory to Practice.
Proceedings of the FM 2009: Formal Methods, 2009

2008
Review computation engineering: applied automata theory and logic.
SIGACT News, 2008

Efficient Stateful Dynamic Partial Order Reduction.
Proceedings of the Model Checking Software, 2008

Implementing Efficient Dynamic Formal Verification Methods for MPI Programs.
Proceedings of the Recent Advances in Parallel Virtual Machine and Message Passing Interface, 2008

A Formal Approach to Detect Functionally Irrelevant Barriers in MPI Programs.
Proceedings of the Recent Advances in Parallel Virtual Machine and Message Passing Interface, 2008

ISP: a tool for model checking MPI programs.
Proceedings of the 13th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2008

Formal specification of the MPI-2.0 standard in TLA+.
Proceedings of the 13th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2008

Scheduling considerations for building dynamic verification tools for MPI.
Proceedings of the 6th Workshop on Parallel and Distributed Systems: Testing, 2008

Runtime verification methods for MPI.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008

Dynamic Verification of MPI Programs with Reductions in Presence of Split Operations and Relaxed Orderings.
Proceedings of the Computer Aided Verification, 20th International Conference, 2008

Dynamic Model Checking with Property Driven Pruning to Detect Race Conditions.
Proceedings of the Automated Technology for Verification and Analysis, 2008

2007
Formal Methods for MPI Programs.
Proceedings of the Festschrift honoring Gary Lindstrom on his retirement from the University of Utah after 30 years of service, 2007

Preface.
Proceedings of the Festschrift honoring Gary Lindstrom on his retirement from the University of Utah after 30 years of service, 2007

Distributed Dynamic Partial Order Reduction Based Verification of Threaded Software.
Proceedings of the Model Checking Software, 2007

Practical Model-Checking Method for Verifying Correctness of MPI Programs.
Proceedings of the Recent Advances in Parallel Virtual Machine and Message Passing Interface, 14th European PVM/MPI User's Group Meeting, Paris, France, September 30, 2007

Semantics driven dynamic partial-order reduction of MPI-based parallel programs.
Proceedings of the 5th Workshop on Parallel and Distributed Systems: Testing, 2007

Formal Analysis for Debugging and Performance Optimization of MPI.
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007

Hierarchical cache coherence protocol verification one level at a time through assume guarantee.
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2007

An Approach to Formalization and Analysis of Message Passing Libraries.
Proceedings of the Formal Methods for Industrial Critical Systems, 2007

Transaction Based Modeling and Verification of Hardware Protocols.
Proceedings of the Formal Methods in Computer-Aided Design, 7th International Conference, 2007

2006
Preface.
Proceedings of the Thread Verification Workshop, 2006

Exploiting Symmetry and Transactions for Partial Order Reduction of Rule Based Specifications.
Proceedings of the Model Checking Software, 13th International SPIN Workshop, Vienna, Austria, March 30, 2006

Formal Verification of Programs That Use MPI One-Sided Communication.
Proceedings of the Recent Advances in Parallel Virtual Machine and Message Passing Interface, 2006

Toward reliable and efficient message passing software through formal analysis.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

Reducing Verification Complexity of a Multicore Coherence Protocol Using Assume/Guarantee.
Proceedings of the Formal Methods in Computer-Aided Design, 6th International Conference, 2006

Computation engineering - applied automata theory and logic.
Springer, ISBN: 978-0-387-24418-1, 2006

2005
Live sequence charts applied to hardware requirements specification and verification.
Int. J. Softw. Tools Technol. Transf., 2005

On the definition of sequential consistency.
Inf. Process. Lett., 2005

Gauss: A Framework for Verifying Scientific Computing Software.
Proceedings of the 3rd Workshop on Software Model Checking, 2005

UMM: an operational memory model specification framework with integrated model checking capability.
Concurr. Pract. Exp., 2005

On the decidability of shared memory consistency verification.
Proceedings of the 3rd ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2005), 2005

Counterexample Guided Invariant Discovery for Parameterized Cache Coherence Verification.
Proceedings of the Correct Hardware Design and Verification Methods, 2005

Symbolic Partial Order Reduction for Rule Based Transition Systems.
Proceedings of the Correct Hardware Design and Verification Methods, 2005

2004
Formal hardware specification languages for protocol compliance verification.
ACM Trans. Design Autom. Electr. Syst., 2004

Nemos: A Framework for Axiomatic and Executable Specifications of Memory Consistency Models.
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004

Memory-Model-Sensitive Data Race Analysis.
Proceedings of the Formal Methods and Software Engineering, 2004

QB or Not QB: An Efficient Execution Verification Tool for Memory Orderings.
Proceedings of the Computer Aided Verification, 16th International Conference, 2004

2003
Formal Verification of a Complex Pipelined Processor.
Formal Methods Syst. Des., 2003

Industrial Practice of Formal Hardware Verification: A Sampling.
Formal Methods Syst. Des., 2003

Random Walk Based Heuristic Algorithms for Distributed Memory Model Checking.
Proceedings of the 2nd International Workshop on Parallel and Distributed Model Checking, 2003

A Practical Methodology for Verifying Pipelined Microarchitectures.
IEEE Des. Test Comput., 2003

Analyzing the Intel Itanium Memory Ordering Rules Using Logic Programming and SAT.
Proceedings of the Correct Hardware Design and Verification Methods, 2003

2002
An Efficient Partial Order Reduction Algorithm with an Alternative Proviso Implementation.
Formal Methods Syst. Des., 2002

Deriving Efficient Cache Coherence Protocols Through Refinement.
Formal Methods Syst. Des., 2002

Robust rate based congestion control.
Comput. Commun. Rev., 2002

Incremental Proof of the Producer/Consumer Property for the PCI Protocol.
Proceedings of the ZB 2002: Formal Specification and Development in Z and B, 2002

Specifying Java thread semantics using a uniform memory model.
Proceedings of the 2002 Joint ACM-ISCOPE Conference on Java Grande 2002, 2002

FSRC: fair and stable rate control - a TCP-friendly adaptive rate control scheme for packet audio applications.
Proceedings of the Proceedings 10th IEEE International Conference on Networks: Towards Network Superiority, 2002

A Distributed Partial Order Reduction Algorithm.
Proceedings of the Formal Techniques for Networked and Distributed Systems, 2002

A Specification and Verification Framework for Developing Weak Shared Memory Consistency Protocols.
Proceedings of the Formal Methods in Computer-Aided Design, 4th International Conference, 2002

Shared Memory Consistency Protocol Verification Against Weak Memory Models: Refinement via Model-Checking.
Proceedings of the Computer Aided Verification, 14th International Conference, 2002

2001
towards A formal Model of Shared Memory Consistency for Intel Itanium<sup>TM</sup>.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001

Using live sequence charts for hardware protocol specification and compliance verification.
Proceedings of the Sixth IEEE International High-Level Design Validation and Test Workshop 2001, 2001

2000
Formalization and Analysis of a Solution to the PCI 2.1 Bus Transaction Ordering Problem.
Formal Methods Syst. Des., 2000

Introduction: Formal Methods for CAD: Enabling Technologies and System-level Applications.
Formal Methods Syst. Des., 2000

Verification Methods for Weaker Shared Memory Consistency Models.
Proceedings of the Parallel and Distributed Processing, 2000

Achieving Fast and Exact Hazard-Free Logic Minimization of Extended Burst-Mode gC Finite State Machines.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

Toward automated abstraction for protocols on branching networks.
Proceedings of the IEEE International High-Level Design Validation and Test Workshop 2000, 2000

Verifying Transaction Ordering Properties in Unbounded Bus Networks through Combined Deductive/Algorithmic Methods.
Proceedings of the Formal Methods in Computer-Aided Design, Third International Conference, 2000

Verifying Advanced Microarchitectures that Support Speculation and Exceptions.
Proceedings of the Computer Aided Verification, 12th International Conference, 2000

High-Level Asynchronous System Design Using the ACK Framework.
Proceedings of the 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000), 2000

1999
Timing constraints for high-speed counterflow-clocked pipelining.
IEEE Trans. Very Large Scale Integr. Syst., 1999

Peephole optimization of asynchronous macromodule networks.
IEEE Trans. Very Large Scale Integr. Syst., 1999

Corrections To application-specific Programmable Control For High-performance Asynchronous Circuits.
Proc. IEEE, 1999

Application-specific programmable control for high-performance asynchronous circuits.
Proc. IEEE, 1999

A Proof of Correctness of a Processor Implementing Tomasulo's Algorithm without a Reorder Buffer.
Proceedings of the Correct Hardware Design and Verification Methods, 1999

1998
Using "Test Model-Checking" to Verify the Runway-PA8000 Memory Model.
Proceedings of the Tenth Annual ACM Symposium on Parallel Algorithms and Architectures, 1998

PV: An Explicit Enumeration Model-Checker.
Proceedings of the Formal Methods in Computer-Aided Design, 1998

Formalization and Proof of a Solution to the PCI 2.1 Bus Transaction Ordering Problem.
Proceedings of the Formal Methods in Computer-Aided Design, 1998

The 'Test Model-Checking' Approach to the Verification of Formal Memory Models of Multiprocessors.
Proceedings of the Computer Aided Verification, 10th International Conference, 1998

Decomposing the Proof of Correctness of pipelined Microprocessors.
Proceedings of the Computer Aided Verification, 10th International Conference, 1998

1997
A fast parallel squarer based on divide-and-conquer.
IEEE J. Solid State Circuits, 1997

Formal modeling and validation applied to a commercial coherent bus: a case study.
Proceedings of the Advances in Hardware Design and Verification, 1997

Asynchronous Microengines for Efficient High-level Control.
Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97), 1997

1996
Synthesis for Hazard-free Customized CMOS Complex-Gate Networks Under Multiple-Input Changes.
Proceedings of the 33st Conference on Design Automation, 1996

A Technique for Synthesizing Distributed Burst-mode Circuits.
Proceedings of the 33st Conference on Design Automation, 1996

1995
High speed counterflow-clocked pipelining illustrated on the design of HDTV subband vector quantizer chips.
Proceedings of the 16th Conference on Advanced Research in VLSI (ARVLSI '95), 1995

1994
High-level optimizations in compiling process descriptions to asynchronous circuits.
J. VLSI Signal Process., 1994

Specification and Validation of Control-Intensive IC's in hopCP.
IEEE Trans. Software Eng., 1994

Efficient symbolic simulation-based verification using the parametric form of Boolean expressions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

A correctness criterion for asynchronous circuit validation and optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

CFSIM: A Concurrent Compiled Code Functional Simulator for hopCP.
Int. J. Comput. Simul., 1994

Developing Micropipeline Wavefront Arbiters.
IEEE Des. Test Comput., 1994

Performance Analysis and Optimization of Asynchronous Circuits.
Proceedings of the Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1994

1993
Design and Verification of the Rollback Chip Using HOP: A Case Study of Formal Methods Applied to Hardware design.
ACM Trans. Comput. Syst., 1993

Guest editors' introduction to the special issue on asynchronous systems.
Integr., 1993

A transformational approach to asynchronous high-level synthesis.
Proceedings of the VLSI 93, 1993

Hierarchical Constraint Solving in the Parametric Form with Applications to Efficient Symbolic Simulation Based Verification.
Proceedings of the Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, 1993

1992
Design and Evaluation of the Rollback Chip: Special Purpose Hardware for Time Warp.
IEEE Trans. Computers, 1992

VLSI asynchronous systems: specification and synthesis.
Microprocess. Microsystems, 1992

From Process-Oriented Functional Specifications to Efficient Asynchronous Circuits.
Proceedings of the Fifth International Conference on VLSI Design, 1992

Dynamic Reordering of Hgh Latency Transactions Using a Modified a Micropipeline.
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992

Some Techniques for Efficient Symbolic Simulation-Based Verification.
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992

SHILPA: a high-level synthesis system for self-timed circuits.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

Towards a Verification Technique for Large Synchronous Circuits.
Proceedings of the Computer Aided Verification, Fourth International Workshop, 1992

1989
HOP: A process model for synchronous hardware; semantics and experiments in process composition.
Integr., 1989

Parallel Composition of Lockstep Synchronous Processes for Hardware Validation: Divide-and-Conquer Composition.
Proceedings of the Automatic Verification Methods for Finite State Systems, 1989

1988
Implementing Functional Programs Using Mutable Abstract Data Types.
Inf. Process. Lett., 1988

Design and Performance of Special Purpose Hardware for Time Warp.
Proceedings of the 15th Annual International Symposium on Computer Architecture, 1988

1987
Synthesizing Synchronous Digital VLSI Controllers Using Petri Nets.
Proceedings of the Second International Workshop on Petri Nets and Performance Models, 1987


  Loading...