Giuseppe Ascia

Orcid: 0000-0001-7452-5828

According to our database1, Giuseppe Ascia authored at least 79 papers between 1995 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Towards Fair and Firm Real-Time Scheduling in DNN Multi-Tenant Multi-Accelerator Systems via Reinforcement Learning.
CoRR, 2024

2023
Multiobjective End-to-End Design Space Exploration of Parameterized DNN Accelerators.
IEEE Internet Things J., January, 2023

A Survey on Design Methodologies for Accelerating Deep Learning on Heterogeneous Architectures.
CoRR, 2023

A Survey on Deep Learning Hardware Accelerators for Heterogeneous HPC Platforms.
CoRR, 2023

Memory-Aware DNN Algorithm-Hardware Mapping via Integer Linear Programming.
Proceedings of the 20th ACM International Conference on Computing Frontiers, 2023

2022
DNN Model Compression for IoT Domain-Specific Hardware Accelerators.
IEEE Internet Things J., 2022

Exploiting the Approximate Computing Paradigm with DNN Hardware Accelerators.
Proceedings of the 11th Mediterranean Conference on Embedded Computing, 2022

Combined Application of Approximate Computing Techniques in DNN Hardware Accelerators.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2022

MEDEA: A Multi-objective Evolutionary Approach to DNN Hardware Mapping.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
LAMBDA: An Open Framework for Deep Neural Network Accelerators Simulation.
Proceedings of the 19th IEEE International Conference on Pervasive Computing and Communications Workshops and other Affiliated Events, 2021

2020
Exploiting Data Resilience in Wireless Network-on-chip Architectures.
ACM J. Emerg. Technol. Comput. Syst., 2020

Improving Inference Latency and Energy of DNNs through Wireless Enabled Multi-Chip-Module-based Architectures and Model Parameters Compression.
Proceedings of the 14th IEEE/ACM International Symposium on Networks-on-Chip, 2020

Improving Inference Latency and Energy of Network-on-Chip based Convolutional Neural Networks through Weights Compression.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020

DNNZip: Selective Layers Compression Technique in Deep Neural Network Accelerators.
Proceedings of the 23rd Euromicro Conference on Digital System Design, 2020

2019
Analyzing networks-on-chip based deep neural networks.
Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip, 2019

Networks-on-Chip based Deep Neural Networks Accelerators for IoT Edge Devices.
Proceedings of the Sixth International Conference on Internet of Things: Systems, 2019

2018
Improving energy consumption of NoC based architectures through approximate communication.
Proceedings of the 7th Mediterranean Conference on Embedded Computing, 2018

Approximate Wireless Networks-on-Chip.
Proceedings of the Conference on Design of Circuits and Integrated Systems, 2018

2016
Runtime Tunable Transmitting Power Technique in mm-Wave WiNoC Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2016

On-Chip Communication Energy Reduction Through Reliability Aware Adaptive Voltage Swing Scaling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Exploiting antenna directivity in wireless NoC architectures.
Microprocess. Microsystems, 2016

Making Android Apps Data-Leak-Safe by Data Flow Analysis and Code Injection.
Proceedings of the 25th IEEE International Conference on Enabling Technologies: Infrastructure for Collaborative Enterprises, 2016

2015
Coupling Routing Algorithm and Data Encoding for Low Power Networks on Chip.
J. Comput. Sci., 2015

A closed loop transmitting power self-calibration scheme for energy efficient WiNoC architectures.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
A Closed Loop Control based Power Manager for WiNoC Architectures.
Proceedings of the 2nd International Workshop on Many-core Embedded Systems, 2014

An adaptive transmitting power technique for energy efficient mm-wave wireless NoCs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
A fuzzy system index to preserve interpretability in deep tuning of fuzzy rule based classifiers.
J. Intell. Fuzzy Syst., 2013

NoC links energy reduction through link voltage scaling.
Proceedings of the 2013 International Conference on Embedded Computer Systems: Architectures, 2013

Low Energy Mapping Techniques under Reliability and Bandwidth Constraints.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

Runtime Online Links Voltage Scaling for Low Energy Networks on Chip.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

An Adaptive Output Selection Function Based on a Fuzzy Rule Base System for Network on Chip.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

2012
A Study on Evolutionary Multi-Objective Optimization with Fuzzy Approximation for Computational Expensive Problems.
Proceedings of the Parallel Problem Solving from Nature - PPSN XII, 2012

2011
Data Encoding Schemes in Networks on Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Performance evaluation of efficient multi-objective evolutionary algorithms for design space exploration of embedded computer systems.
Appl. Soft Comput., 2011

2009
Data Encoding for Low-Power in Wormhole-Switched Networks-on-Chip.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

2008
Implementation and Analysis of a New Selection Strategy for Adaptive Routing in Networks-on-Chip.
IEEE Trans. Computers, 2008

2007
Efficient design space exploration for application specific systems-on-a-chip.
J. Syst. Archit., 2007

2006
An integrated fuzzy-GA approach for buffer management.
IEEE Trans. Fuzzy Syst., 2006

A Multi-objective Genetic Approach to Mapping Problem on Network-on-Chip.
J. Univers. Comput. Sci., 2006

An Efficent Hierachical Fuzzy Approach for System Level System-on-a-Chip Design.
Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, 2006

Neighbors-on-Path: A New Selection Strategy for On-Chip Networks.
Proceedings of the 2006 4th Workshop on Embedded Systems for Real-Time Multimedia, 2006

Fuzzy decision making in embedded system design.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

A Multiobjective Genetic Fuzzy Approach for Intelligent System-level Exploration in Parameterized VLIW Processor Design.
Proceedings of the IEEE International Conference on Evolutionary Computation, 2006

2005
An evolutionary management scheme in high-performance packet switches.
IEEE/ACM Trans. Netw., 2005

A multiobjective genetic approach for system-level exploration in parameterized systems-on-a-chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Hyperblock formation: a power/energy perspective for high performance VLIW architectures.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

An evolutionary approach to network-on-chip mapping problem.
Proceedings of the IEEE Congress on Evolutionary Computation, 2005

A system-level framework for evaluating area/performance/power trade-offs of VLIW-based embedded systems.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Exploring Design Space of VLIW Architectures.
Proceedings of the 16th IEEE International Conference on Application-Specific Systems, 2005

2004
A GA-based design space exploration framework for parameterized system-on-a-chip platforms.
IEEE Trans. Evol. Comput., 2004

Multi-objective Optimization of a Parameterized VLIW Architecture.
Proceedings of the 6th NASA / DoD Workshop on Evolvable Hardware (EH 2004), 2004

Multi-objective mapping for mesh-based NoC architectures.
Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2004

2003
A Genetic Approach To Bus Encoding.
Proceedings of the IFIP VLSI-SoC 2003, 2003

A Genetic Bus Encoding Technique for Power Optimization of Embedded Systems.
Proceedings of the Integrated Circuit and System Design, 2003

An evolutionary approach for reducing the energy in address buses.
Proceedings of the 1st Intenational Symposium on Information and Communication Technologies, 2003

EPIC-Explorer: A Parameterized VLIW-based Platform Framework for Design Space Exploration.
Proceedings of the First Workshop on Embedded Systems for Real-Time Multimedia, 2003

An evolutionary approach for reducing the switching activity in address buses.
Proceedings of the IEEE Congress on Evolutionary Computation, 2003

2002
A Framework for Design Space Exploration of Parameterized VLSI Systems.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

An efficient buffer management policy based on an integrated Fuzzy-GA approach.
Proceedings of the Proceedings IEEE INFOCOM 2002, 2002

2001
An Instruction-Level Power Analysis Model with Data Dependency.
VLSI Design, 2001

An efficient fuzzy system for traffic management in high-speed packet-switched networks.
Soft Comput., 2001

An adaptive fuzzy threshold scheme for high performance shared-memory switches.
Proceedings of the 2001 ACM Symposium on Applied Computing (SAC), 2001

A Fuzzy Buffer Management Scheme For ATM and IP Networks.
Proceedings of the Proceedings IEEE INFOCOM 2001, 2001

An Evolutionary Approach for Pareto-optimal Configurations in SOC Platforms.
Proceedings of the SOC Design Methodologies, 2001

A General Purpose Processor Oriented Fuzzy Reasoning.
Proceedings of the 10th IEEE International Conference on Fuzzy Systems, 2001

Parameterised system design based on genetic algorithms.
Proceedings of the Ninth International Symposium on Hardware/Software Codesign, 2001

2000
A pipeline parallel architecture for a fuzzy inference processor.
Proceedings of the Ninth IEEE International Conference on Fuzzy Systems, 2000

1999
VLSI hardware architecture for complex fuzzy systems.
IEEE Trans. Fuzzy Syst., 1999

An Optimized Parallel RISC Processor for Fuzzy Computing.
Proceedings of the 17th IASTED International Conference on Applied Informatics, 1999

1998
A Framework for a Parallel Architecture Dedicated to Soft Computing.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

1997
A VLSI fuzzy expert system for real-time traffic control in ATM networks.
IEEE Trans. Fuzzy Syst., 1997

Design of a VLSI Hardware PET Decoder.
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997

1996
A Reconfigurable Parallel Architecture for a Fuzzy Processor.
Inf. Sci., 1996

An Efficient Hardware Architecture to Support Complex Fuzzy Reasoning.
Int. J. Artif. Intell. Tools, 1996

1995
Fuzzy Hardware Challenges.
IEEE Micro, 1995

Designing for parallel fuzzy computing.
IEEE Micro, 1995

A VLSI Parallel Architecture for Fuzzy Expert Systems.
Int. J. Pattern Recognit. Artif. Intell., 1995

Design of a VLSI parallel processor for fuzzy computing.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

Design of a VLSI fuzzy processor for ATM traffic sources management.
Proceedings of the Proceedings 20th Conference on Local Computer Networks (LCN'95), 1995


  Loading...